From bfe5b19ccf3fb86f525159896e7df280e8d97786 Mon Sep 17 00:00:00 2001 From: Stephen carter Date: Sun, 31 Jan 2016 16:52:14 -0500 Subject: [PATCH] ALU completed, testbed finished, all tests passed. --- alu_16.vhd | 6 +- alu_16.vhd.bak | 10 +- alu_tb.tcl | 30 + alu_tb.vhd | 335 +++++- alu_tb.vhd.bak | 333 +++++- transcript | 1567 +++++++++++++++++++++++++---- vsim.wlf | Bin 0 -> 49152 bytes work/_info | 97 +- work/_lib.qdb | Bin 49152 -> 49152 bytes work/_lib1_0.qpg | Bin 49152 -> 0 bytes work/_lib1_0.qtl | Bin 69443 -> 0 bytes work/{_lib1_0.qdb => _lib1_5.qdb} | Bin 32768 -> 32768 bytes work/_lib1_5.qpg | Bin 0 -> 720896 bytes work/_lib1_5.qtl | Bin 0 -> 143378 bytes 14 files changed, 2131 insertions(+), 247 deletions(-) create mode 100644 alu_tb.tcl create mode 100644 vsim.wlf delete mode 100644 work/_lib1_0.qpg delete mode 100644 work/_lib1_0.qtl rename work/{_lib1_0.qdb => _lib1_5.qdb} (88%) create mode 100644 work/_lib1_5.qpg create mode 100644 work/_lib1_5.qtl diff --git a/alu_16.vhd b/alu_16.vhd index 2248b08..bc083a9 100644 --- a/alu_16.vhd +++ b/alu_16.vhd @@ -94,12 +94,10 @@ Process(CLOCK, RESET) output <= shift_right(input1, to_integer(unsigned(input2))); out_code <= in_code; when LSL => - input1_temp <= std_logic_vector(input1); - output <= signed(shift_left(unsigned(input1_temp), to_integer(unsigned(input2)))); + output <= signed(shift_left(unsigned(std_logic_vector(input1)), to_integer(unsigned(input2)))); out_code <= in_code; when LSR => - input1_temp <= std_logic_vector(input1); - output <= signed(shift_right(unsigned(input1_temp), to_integer(unsigned(input2)))); + output <= signed(shift_right(unsigned(std_logic_vector(input1)), to_integer(unsigned(input2)))); out_code <= in_code; when others => output <= "0000000000000000"; diff --git a/alu_16.vhd.bak b/alu_16.vhd.bak index d7f5618..dbe8b23 100644 --- a/alu_16.vhd.bak +++ b/alu_16.vhd.bak @@ -29,7 +29,7 @@ architecture implementation of alu_16 is CONSTANT ADD : unsigned(3 downto 0) := "0000"; CONSTANT SUB : unsigned(3 downto 0) := "0001"; CONSTANT NOT_IN : unsigned(3 downto 0) := "0010"; -CONSTANT ADD_IN : unsigned(3 downto 0) := "0011"; +CONSTANT AND_IN : unsigned(3 downto 0) := "0011"; CONSTANT NAND_IN : unsigned(3 downto 0) := "0100"; CONSTANT OR_IN : unsigned(3 downto 0) := "0101"; CONSTANT NOR_IN : unsigned(3 downto 0) := "0110"; @@ -94,12 +94,12 @@ Process(CLOCK, RESET) output <= shift_right(input1, to_integer(unsigned(input2))); out_code <= in_code; when LSL => - input1_temp <= std_logic_vector(input1); - output <= signed(shift_left(unsigned(input1_temp), to_integer(unsigned(input2)))); + --input1_temp <= std_logic_vector(input1); + output <= signed(shift_left(unsigned(std_logic_vector(input1)), to_integer(unsigned(input2)))); out_code <= in_code; when LSR => - input1_temp <= std_logic_vector(input1); - output <= signed(shift_right(unsigned(input1_temp), to_integer(unsigned(input2)))); + --input1_temp <= std_logic_vector(input1); + output <= signed(shift_right(unsigned(std_logic_vector(input1)), to_integer(unsigned(input2)))); out_code <= in_code; when others => output <= "0000000000000000"; diff --git a/alu_tb.tcl b/alu_tb.tcl new file mode 100644 index 0000000..ec708f5 --- /dev/null +++ b/alu_tb.tcl @@ -0,0 +1,30 @@ +proc AddWaves {} { + ;#Add waves we're interested in to the Wave window + add wave -position end sim:/alu_tb/opcode_t + add wave -position end sim:/alu_tb/input1_t + add wave -position end sim:/alu_tb/input2_t + add wave -position end sim:/alu_tb/clk + add wave -position end sim:/alu_tb/reset_t + add wave -position end sim:/alu_tb/output_t + add wave -position end sim:/alu_tb/status_t +} + +vlib work + +;# Compile components if any +vcom alu_16.vhd +vcom alu_tb.vhd + +;# Start simulation +vsim alu_tb + +;# Add the waves + +AddWaves + +;# Generate a clock with 1ns period +force -deposit clk 0 0 ns, 1 0.5 ns -repeat 1 ns + + +;# Run for 50 ns +run 50ns \ No newline at end of file diff --git a/alu_tb.vhd b/alu_tb.vhd index 755846a..1957e95 100644 --- a/alu_tb.vhd +++ b/alu_tb.vhd @@ -6,10 +6,10 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -entity alu_testbed is +entity alu_tb is end entity; -architecture testbed of alu_testbed is +architecture testbed of alu_tb is Component alu_16 is Generic( @@ -31,7 +31,8 @@ end component; CONSTANT DATA_WIDTH : integer := 16; --The input signals with their initial values -Signal clk, reset_t : std_logic := '0'; +Signal clk : std_logic := '0'; +Signal reset_t : std_logic := '0'; Signal input1_t : signed(DATA_WIDTH-1 downto 0) := (others => '0'); Signal input2_t : signed(DATA_WIDTH-1 downto 0) := (others => '0'); @@ -41,7 +42,7 @@ Signal opcode_t : unsigned(3 downto 0); Signal status_t : unsigned(3 downto 0); -- clock input -CONSTANT clk_period : time := 10 ns; +CONSTANT clk_period : time := 1 ns; CONSTANT ADD : unsigned(3 downto 0) := "0000"; CONSTANT SUB : unsigned(3 downto 0) := "0001"; @@ -67,8 +68,7 @@ CONSTANT TEST6_NUM : signed(DATA_WIDTH-1 downto 0) := "0100110011110011"; -- Begin -dut: alu_16 -PORT MAP(opcode_t, input1_t, input2_t, clk, reset_t, output_t, status_t); +dut: alu_16 PORT MAP(OPCODE => opcode_t, DATA0 => input1_t, DATA1 => input2_t, CLOCK => clk, RESET => reset_t, DATA_OUT => output_t, STATUS => status_t); -- process for clock clk_process : Process @@ -80,14 +80,323 @@ Begin end process; -test_alu_process: process +stim_process: process Begin - -- test add and subtract - --REPORT "begin test case with double slash comment"; - --s_input <= test_case_doubleslash(i); - --wait for 1 * clk_period; - --ASSERT(s_output = '0') REPORT "no comment, and input backslash should be output = '0'" SEVERITY ERROR; - --end loop; + + reset_t <= '1'; + wait for 1 * clk_period; + reset_t <= '0'; + wait for 1 * clk_period; + + -- test add + REPORT "begin test case for add function"; + opcode_t <= ADD; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111111") REPORT "addition failed" SEVERITY ERROR; + ASSERT(status_t = ADD) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST1_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0101011001010100") REPORT "addition failed" SEVERITY ERROR; + ASSERT(status_t = ADD) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST3_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1010100110101010") REPORT "addition failed" SEVERITY ERROR; + ASSERT(status_t = ADD) REPORT "status output incorrect" SEVERITY ERROR; + + --SUB + + REPORT "begin test case for SUB function"; + opcode_t <= SUB; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1010101010101011") REPORT "subtraction failed" SEVERITY ERROR; + ASSERT(status_t = SUB) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST6_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1000001000010011") REPORT "subtraction failed" SEVERITY ERROR; + ASSERT(status_t = SUB) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST3_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0101010001010110") REPORT "subtraction failed" SEVERITY ERROR; + ASSERT(status_t = SUB) REPORT "status output incorrect" SEVERITY ERROR; + + --NOT + + REPORT "begin test case for NOT function"; + opcode_t <= NOT_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST2_NUM) REPORT "NOT failed" SEVERITY ERROR; + ASSERT(status_t = NOT_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST1_NUM) REPORT "NOT failed" SEVERITY ERROR; + ASSERT(status_t = NOT_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST1_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0011000011111001") REPORT "NOT failed" SEVERITY ERROR; + ASSERT(status_t = NOT_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --AND + + REPORT "begin test case for AND function"; + opcode_t <= AND_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000000") REPORT "AND failed" SEVERITY ERROR; + ASSERT(status_t = AND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST2_NUM) REPORT "AND failed" SEVERITY ERROR; + ASSERT(status_t = AND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000110") REPORT "AND failed" SEVERITY ERROR; + ASSERT(status_t = AND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --NAND + + REPORT "begin test case for NAND function"; + opcode_t <= NAND_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111111") REPORT "NAND failed" SEVERITY ERROR; + ASSERT(status_t = NAND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST1_NUM) REPORT "NAND failed" SEVERITY ERROR; + ASSERT(status_t = NAND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111001") REPORT "NAND failed" SEVERITY ERROR; + ASSERT(status_t = NAND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --OR + + REPORT "begin test case for OR function"; + opcode_t <= OR_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111111") REPORT "OR failed" SEVERITY ERROR; + ASSERT(status_t = OR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST2_NUM) REPORT "OR failed" SEVERITY ERROR; + ASSERT(status_t = OR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1100111111111111") REPORT "OR failed" SEVERITY ERROR; + ASSERT(status_t = OR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + + --NOR + + REPORT "begin test case for NOR function"; + opcode_t <= NOR_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000000") REPORT "NOR failed" SEVERITY ERROR; + ASSERT(status_t = NOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + reset_t <= '1'; + wait for 1 * clk_period; + reset_t <= '0'; + wait for 1 * clk_period; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST1_NUM) REPORT "NOR failed" SEVERITY ERROR; + ASSERT(status_t = NOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0011000000000000") REPORT "NOR failed" SEVERITY ERROR; + ASSERT(status_t = NOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + reset_t <= '1'; + wait for 1 * clk_period; + reset_t <= '0'; + wait for 1 * clk_period; + --XOR + + REPORT "begin test case for XOR function"; + opcode_t <= XOR_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111111") REPORT "XOR failed" SEVERITY ERROR; + ASSERT(status_t = XOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST6_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1000001111110101") REPORT "XOR failed" SEVERITY ERROR; + ASSERT(status_t = XOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1100111111111001") REPORT "XOR failed" SEVERITY ERROR; + ASSERT(status_t = XOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --XNOR + + REPORT "begin test case for XNOR function"; + opcode_t <= XNOR_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000000") REPORT "XNOR failed" SEVERITY ERROR; + ASSERT(status_t = XNOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST6_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0111110000001010") REPORT "XNOR failed" SEVERITY ERROR; + ASSERT(status_t = XNOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0011000000000110") REPORT "XNOR failed" SEVERITY ERROR; + ASSERT(status_t = XNOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --ASL + + REPORT "begin test case for ASL function"; + opcode_t <= ASL; + input1_t <= TEST1_NUM; + input2_t <= "0000000000000011"; + wait for 1 * clk_period; + ASSERT(output_t = "1010101010101000") REPORT "ASL failed" SEVERITY ERROR; + ASSERT(status_t = ASL) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= "0000000000000001"; + wait for 1 * clk_period; + ASSERT(output_t = "1001111000001100") REPORT "ASL failed" SEVERITY ERROR; + ASSERT(status_t = ASL) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST3_NUM; + input2_t <= "0000000000000110"; + wait for 1 * clk_period; + ASSERT(output_t = "1100000000000000") REPORT "ASL failed" SEVERITY ERROR; + ASSERT(status_t = ASL) REPORT "status output incorrect" SEVERITY ERROR; + + --ASR + + REPORT "begin test case for ASR function"; + opcode_t <= ASR; + input1_t <= TEST2_NUM; + input2_t <= "0000000000000011"; + wait for 1 * clk_period; + ASSERT(output_t = "1111010101010101") REPORT "ASR failed" SEVERITY ERROR; + ASSERT(status_t = ASR) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= "0000000000000001"; + wait for 1 * clk_period; + ASSERT(output_t = "1110011110000011") REPORT "ASR failed" SEVERITY ERROR; + ASSERT(status_t = ASR) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST1_NUM; + input2_t <= "0000000000000110"; + wait for 1 * clk_period; + ASSERT(output_t = "0000000101010101") REPORT "ASR failed" SEVERITY ERROR; + ASSERT(status_t = ASR) REPORT "status output incorrect" SEVERITY ERROR; + + + reset_t <= '1'; + wait for 1 * clk_period; + reset_t <= '0'; + wait for 1 * clk_period; + + --LSL + + REPORT "begin test case for LSL function"; + opcode_t <= LSL; + input1_t <= TEST1_NUM; + input2_t <= "0000000000000011"; + wait for 1 * clk_period; + ASSERT(output_t = "1010101010101000") REPORT "LSL failed" SEVERITY ERROR; + ASSERT(status_t = LSL) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= "0000000000000001"; + wait for 1 * clk_period; + ASSERT(output_t = "1001111000001100") REPORT "LSL failed" SEVERITY ERROR; + ASSERT(status_t = LSL) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST3_NUM; + input2_t <= "0000000000000111"; + wait for 1 * clk_period; + ASSERT(output_t = "1000000000000000") REPORT "LSL failed" SEVERITY ERROR; + ASSERT(status_t = LSL) REPORT "status output incorrect" SEVERITY ERROR; + + --LSR + + REPORT "begin test case for LSR function"; + opcode_t <= LSR; + input1_t <= TEST1_NUM; + input2_t <= "0000000000000011"; + wait for 1 * clk_period; + ASSERT(output_t = "0000101010101010") REPORT "LSR failed" SEVERITY ERROR; + ASSERT(status_t = LSR) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST6_NUM; + input2_t <= "0000000000000001"; + wait for 1 * clk_period; + ASSERT(output_t = "0010011001111001") REPORT "LSR failed" SEVERITY ERROR; + ASSERT(status_t = LSR) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= "0000000000001111"; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000001") REPORT "LSR failed" SEVERITY ERROR; + ASSERT(status_t = LSR) REPORT "status output incorrect" SEVERITY ERROR; + + REPORT "TESTING Complete"; + reset_t <= '1'; + wait for 1 * clk_period; + reset_t <= '0'; + wait for 1 * clk_period; + REPORT "RESET Complete"; + + WAIT; end process; diff --git a/alu_tb.vhd.bak b/alu_tb.vhd.bak index 026f246..f14d0d5 100644 --- a/alu_tb.vhd.bak +++ b/alu_tb.vhd.bak @@ -6,10 +6,10 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -entity alu_testbed is +entity alu_tb is end entity; -architecture testbed of alu_testbed is +architecture testbed of alu_tb is Component alu_16 is Generic( @@ -31,7 +31,8 @@ end component; CONSTANT DATA_WIDTH : integer := 16; --The input signals with their initial values -Signal clk, reset_t : std_logic := '0'; +Signal clk : std_logic := '0'; +Signal reset_t : std_logic := '0'; Signal input1_t : signed(DATA_WIDTH-1 downto 0) := (others => '0'); Signal input2_t : signed(DATA_WIDTH-1 downto 0) := (others => '0'); @@ -41,7 +42,7 @@ Signal opcode_t : unsigned(3 downto 0); Signal status_t : unsigned(3 downto 0); -- clock input -CONSTANT clk_period : time := 10 ns; +CONSTANT clk_period : time := 1 ns; CONSTANT ADD : unsigned(3 downto 0) := "0000"; CONSTANT SUB : unsigned(3 downto 0) := "0001"; @@ -67,8 +68,7 @@ CONSTANT TEST6_NUM : signed(DATA_WIDTH-1 downto 0) := "0100110011110011"; -- Begin -dut: alu_16 -PORT MAP(opcode_t, input1_t, input2_t, clk, reset_t, output_t, status_t); +dut: alu_16 PORT MAP(OPCODE => opcode_t, DATA0 => input1_t, DATA1 => input2_t, CLOCK => clk, RESET => reset_t, DATA_OUT => output_t, STATUS => status_t); -- process for clock clk_process : Process @@ -80,14 +80,323 @@ Begin end process; -test_alu_process: process +stim_process: process Begin - -- test add and subtract - REPORT "begin test case with double slash comment"; - s_input <= test_case_doubleslash(i); + + reset_t <= '1'; + wait for 1 * clk_period; + reset_t <= '0'; + wait for 1 * clk_period; + + -- test add + REPORT "begin test case for add function"; + opcode_t <= ADD; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111111") REPORT "addition failed" SEVERITY ERROR; + ASSERT(status_t = ADD) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST1_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0101011001010100") REPORT "addition failed" SEVERITY ERROR; + ASSERT(status_t = ADD) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST3_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1010100110101010") REPORT "addition failed" SEVERITY ERROR; + ASSERT(status_t = ADD) REPORT "status output incorrect" SEVERITY ERROR; + + --SUB + + REPORT "begin test case for SUB function"; + opcode_t <= SUB; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1010101010101011") REPORT "subtraction failed" SEVERITY ERROR; + ASSERT(status_t = SUB) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST6_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1000001000010011") REPORT "subtraction failed" SEVERITY ERROR; + ASSERT(status_t = SUB) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST3_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0101010001010110") REPORT "subtraction failed" SEVERITY ERROR; + ASSERT(status_t = SUB) REPORT "status output incorrect" SEVERITY ERROR; + + --NOT + + REPORT "begin test case for NOT function"; + opcode_t <= NOT_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST2_NUM) REPORT "NOT failed" SEVERITY ERROR; + ASSERT(status_t = NOT_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST1_NUM) REPORT "NOT failed" SEVERITY ERROR; + ASSERT(status_t = NOT_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST1_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0011000011111001") REPORT "NOT failed" SEVERITY ERROR; + ASSERT(status_t = NOT_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --AND + + REPORT "begin test case for AND function"; + opcode_t <= AND_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000000") REPORT "AND failed" SEVERITY ERROR; + ASSERT(status_t = AND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST2_NUM) REPORT "AND failed" SEVERITY ERROR; + ASSERT(status_t = AND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000110") REPORT "AND failed" SEVERITY ERROR; + ASSERT(status_t = AND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --NAND + + REPORT "begin test case for NAND function"; + opcode_t <= NAND_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111111") REPORT "NAND failed" SEVERITY ERROR; + ASSERT(status_t = NAND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST1_NUM) REPORT "NAND failed" SEVERITY ERROR; + ASSERT(status_t = NAND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111001") REPORT "NAND failed" SEVERITY ERROR; + ASSERT(status_t = NAND_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --OR + + REPORT "begin test case for OR function"; + opcode_t <= OR_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111111") REPORT "OR failed" SEVERITY ERROR; + ASSERT(status_t = OR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST2_NUM) REPORT "OR failed" SEVERITY ERROR; + ASSERT(status_t = OR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1100111111111111") REPORT "OR failed" SEVERITY ERROR; + ASSERT(status_t = OR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + + --NOR + + REPORT "begin test case for NOR function"; + opcode_t <= NOR_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000000") REPORT "NOR failed" SEVERITY ERROR; + ASSERT(status_t = NOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + reset_t <= '1'; + wait for 1 * clk_period; + reset_t <= '0'; + wait for 1 * clk_period; + + input1_t <= TEST2_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = TEST1_NUM) REPORT "NOR failed" SEVERITY ERROR; + ASSERT(status_t = NOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0011000000000000") REPORT "NOR failed" SEVERITY ERROR; + ASSERT(status_t = NOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + reset_t <= '1'; wait for 1 * clk_period; - ASSERT(s_output = '0') REPORT "no comment, and input backslash should be output = '0'" SEVERITY ERROR; - end loop; + reset_t <= '0'; + wait for 1 * clk_period; + --XOR + + REPORT "begin test case for XOR function"; + opcode_t <= XOR_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1111111111111111") REPORT "XOR failed" SEVERITY ERROR; + ASSERT(status_t = XOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST6_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1000001111110101") REPORT "XOR failed" SEVERITY ERROR; + ASSERT(status_t = XOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "1100111111111001") REPORT "XOR failed" SEVERITY ERROR; + ASSERT(status_t = XOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --XNOR + + REPORT "begin test case for XNOR function"; + opcode_t <= XNOR_IN; + input1_t <= TEST1_NUM; + input2_t <= TEST2_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000000") REPORT "XNOR failed" SEVERITY ERROR; + ASSERT(status_t = XNOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST6_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0111110000001010") REPORT "XNOR failed" SEVERITY ERROR; + ASSERT(status_t = XNOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= TEST4_NUM; + wait for 1 * clk_period; + ASSERT(output_t = "0011000000000110") REPORT "XNOR failed" SEVERITY ERROR; + ASSERT(status_t = XNOR_IN) REPORT "status output incorrect" SEVERITY ERROR; + + --ASL + + REPORT "begin test case for ASL function"; + opcode_t <= ASL; + input1_t <= TEST1_NUM; + input2_t <= "0000000000000011"; + wait for 1 * clk_period; + ASSERT(output_t = "1010101010101000") REPORT "ASL failed" SEVERITY ERROR; + ASSERT(status_t = ASL) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= "0000000000000001"; + wait for 1 * clk_period; + ASSERT(output_t = "1001111000001100") REPORT "ASL failed" SEVERITY ERROR; + ASSERT(status_t = ASL) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST3_NUM; + input2_t <= "0000000000000110"; + wait for 1 * clk_period; + ASSERT(output_t = "1100000000000000") REPORT "ASL failed" SEVERITY ERROR; + ASSERT(status_t = ASL) REPORT "status output incorrect" SEVERITY ERROR; + + --ASR + + REPORT "begin test case for ASR function"; + opcode_t <= ASR; + input1_t <= TEST2_NUM; + input2_t <= "0000000000000011"; + wait for 1 * clk_period; + ASSERT(output_t = "1111010101010101") REPORT "ASR failed" SEVERITY ERROR; + ASSERT(status_t = ASR) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= "0000000000000001"; + wait for 1 * clk_period; + ASSERT(output_t = "1110011110000011") REPORT "ASR failed" SEVERITY ERROR; + ASSERT(status_t = ASR) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST1_NUM; + input2_t <= "0000000000000110"; + wait for 1 * clk_period; + ASSERT(output_t = "0000000101010101") REPORT "ASR failed" SEVERITY ERROR; + ASSERT(status_t = ASR) REPORT "status output incorrect" SEVERITY ERROR; + + + reset_t <= '1'; + wait for 1 * clk_period; + reset_t <= '0'; + wait for 1 * clk_period; + + --LSL + + REPORT "begin test case for LSL function"; + opcode_t <= LSL; + input1_t <= TEST1_NUM; + input2_t <= "0000000000000011"; + wait for 1 * clk_period; + ASSERT(output_t = "1010101010101000") REPORT "LSL failed" SEVERITY ERROR; + ASSERT(status_t = LSL) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST5_NUM; + input2_t <= "0000000000000001"; + wait for 1 * clk_period; + ASSERT(output_t = "1001111000001100") REPORT "LSL failed" SEVERITY ERROR; + ASSERT(status_t = LSL) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST3_NUM; + input2_t <= "0000000000000111"; + wait for 1 * clk_period; + ASSERT(output_t = "1000000000000000") REPORT "LSL failed" SEVERITY ERROR; + ASSERT(status_t = LSL) REPORT "status output incorrect" SEVERITY ERROR; + + --LSR + +CONSTANT TEST1_NUM : signed(DATA_WIDTH-1 downto 0) := "0101010101010101"; -- +CONSTANT TEST2_NUM : signed(DATA_WIDTH-1 downto 0) := "1010101010101010"; -- +CONSTANT TEST3_NUM : signed(DATA_WIDTH-1 downto 0) := "1111111100000000"; -- +CONSTANT TEST4_NUM : signed(DATA_WIDTH-1 downto 0) := "0000000011111111"; -- +CONSTANT TEST5_NUM : signed(DATA_WIDTH-1 downto 0) := "1100111100000110"; -- +CONSTANT TEST6_NUM : signed(DATA_WIDTH-1 downto 0) := "0100110011110011"; -- + + REPORT "begin test case for LSR function"; + opcode_t <= LSR; + input1_t <= TEST1_NUM; + input2_t <= "0000000000000011"; + wait for 1 * clk_period; + ASSERT(output_t = "0000101010101010") REPORT "LSR failed" SEVERITY ERROR; + ASSERT(status_t = LSR) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST6_NUM; + input2_t <= "0000000000000001"; + wait for 1 * clk_period; + ASSERT(output_t = "0010011001111001") REPORT "LSR failed" SEVERITY ERROR; + ASSERT(status_t = LSR) REPORT "status output incorrect" SEVERITY ERROR; + + input1_t <= TEST2_NUM; + input2_t <= "0000000000001111"; + wait for 1 * clk_period; + ASSERT(output_t = "0000000000000001") REPORT "LSR failed" SEVERITY ERROR; + ASSERT(status_t = LSR) REPORT "status output incorrect" SEVERITY ERROR; + + WAIT; end process; diff --git a/transcript b/transcript index 52d0913..91fe335 100644 --- a/transcript +++ b/transcript @@ -1,231 +1,1434 @@ # Reading C:/altera/15.0/modelsim_ase/tcl/vsim/pref.tcl # OpenFile C:/Users/scarte9/ECSE487-ALU/alu_16.vhd -vlib work -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_16.vhd +source alu_tb.tcl # Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:13:31 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_16.vhd +# Start time: 15:27:15 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Compiling entity alu_16 # -- Compiling architecture implementation of alu_16 -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_16.vhd(72): (vcom-1136) Unknown identifier "AND_IN". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_16.vhd(72): Choice in CASE statement alternative must be locally static. -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_16.vhd(112): VHDL Compiler exiting -# End time: 11:13:31 on Jan 29,2016, Elapsed time: 0:00:00 +# End time: 15:27:15 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:27:15 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:27:16 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim -gui C:\Users\scarte9\ECSE487-ALU\alu_16.vhd +# Start time: 15:27:16 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:29:31 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:29:31 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:29:31 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:29:31 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:29:31 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for subtract function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 4 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 6 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:31:29 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:31:29 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:31:29 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:31:29 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:31:29 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for subtract function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 4 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 6 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:37:01 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:37:01 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:37:01 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:37:01 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:37:01 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for subtract function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 4 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 6 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:38:31 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:38:31 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:38:31 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:38:31 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:38:31 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for subtract function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 4 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:40:33 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:40:34 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:40:34 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:40:34 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:40:34 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for subtract function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:44:50 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:44:51 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:44:51 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:44:51 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:44:51 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for subtract function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 4 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:47:52 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:47:52 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:47:52 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:47:53 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:47:53 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for subtract function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Error: subtraction failed +# Time: 4 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:49:35 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:49:35 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:49:35 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:49:36 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:49:36 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for subtract function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:52:56 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 15:52:57 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 15:52:57 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 15:52:57 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 15:52:57 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +quit -sim +# Load canceled +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:00:12 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:00:12 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:00:12 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:00:13 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:00:13 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 12 ns Iteration: 0 Instance: /alu_tb +restart -f +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:00:43 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:00:43 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:00:43 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:00:43 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:00:43 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:03:12 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:03:12 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:03:12 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:03:12 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:03:12 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 12 ns Iteration: 0 Instance: /alu_tb +# ** Error: NAND failed +# Time: 14 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:04:20 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:04:20 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:04:20 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:04:21 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:04:21 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 12 ns Iteration: 0 Instance: /alu_tb +# ** Error: NAND failed +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Error: NAND failed +# Time: 15 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:05:46 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:05:46 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:05:46 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# ** Error: alu_tb.vhd(185): near ") REPORT ": expecting ')' +# ** Error: alu_tb.vhd(185): near " SEVERITY ERROR;": (vcom-1203) String literal is not terminated with the " character. +# ** Error: alu_tb.vhd(200): VHDL Compiler exiting +# End time: 16:05:46 on Jan 31,2016, Elapsed time: 0:00:00 # Errors: 3, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_16.vhd -# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:13:51 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_16.vhd -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164 -# -- Loading package NUMERIC_STD -# -- Compiling entity alu_16 -# -- Compiling architecture implementation of alu_16 -# End time: 11:13:51 on Jan 29,2016, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd -# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:13:54 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd -# -- Loading package STANDARD -# -- Compiling entity alu_testbed -# -- Compiling architecture testbed of alu_testbed -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(15): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(16): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(17): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(19): (vcom-1136) Unknown identifier "std_logic". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(20): (vcom-1136) Unknown identifier "std_logic". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(22): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(23): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(30): (vcom-1136) Unknown identifier "std_logic". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(30): Enumeration literal '0' is not of type (error). -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(32): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(33): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(34): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(36): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(37): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(42): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(43): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(44): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(45): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(46): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(47): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(48): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(49): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(50): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(51): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(52): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(53): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(54): (vcom-1136) Unknown identifier "unsigned". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(56): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(57): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(58): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(59): (vcom-1136) Unknown identifier "signed". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(63): VHDL Compiler exiting -# End time: 11:13:54 on Jan 29,2016, Elapsed time: 0:00:00 -# Errors: 32, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd -# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:14:10 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd -# -- Loading package STANDARD +# C:/altera/15.0/modelsim_ase/win32aloem/vcom failed. +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:06:00 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:06:00 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:06:00 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:06:01 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:06:01 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 12 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:08:25 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:08:25 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:08:25 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:08:25 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:08:25 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 12 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 15 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:10:02 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:10:03 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:10:03 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:10:03 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:10:03 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 12 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 15 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 18 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:15:25 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:15:25 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:15:25 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:15:25 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:15:25 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 12 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 15 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 18 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 21 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:18:28 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:18:28 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:18:28 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:18:29 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:18:29 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 0 ps Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 12 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 15 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 18 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 21 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 24 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:20:56 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:20:56 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:20:56 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD -# -- Compiling entity alu_testbed -# -- Compiling architecture testbed of alu_testbed -# End time: 11:14:10 on Jan 29,2016, Elapsed time: 0:00:00 +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:20:56 on Jan 31,2016, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# vsim +# Start time: 16:20:56 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 1 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 3 ns Iteration: 0 Instance: /alu_tb +# ** Error: addition failed +# Time: 4 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 4 ns Iteration: 0 Instance: /alu_tb +# ** Error: subtraction failed +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Error: subtraction failed +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 6 ns Iteration: 0 Instance: /alu_tb +# ** Error: subtraction failed +# Time: 7 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 7 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 7 ns Iteration: 0 Instance: /alu_tb +# ** Error: NOT failed +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Error: NOT failed +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 9 ns Iteration: 0 Instance: /alu_tb +# ** Error: NOT failed +# Time: 10 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 10 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 10 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Error: AND failed +# Time: 12 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 12 ns Iteration: 0 Instance: /alu_tb +# ** Error: AND failed +# Time: 13 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 13 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 13 ns Iteration: 0 Instance: /alu_tb +# ** Error: NAND failed +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Error: NAND failed +# Time: 15 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 15 ns Iteration: 0 Instance: /alu_tb +# ** Error: NAND failed +# Time: 16 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 16 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 16 ns Iteration: 0 Instance: /alu_tb +# ** Error: OR failed +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Error: OR failed +# Time: 18 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 18 ns Iteration: 0 Instance: /alu_tb +# ** Error: OR failed +# Time: 19 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 19 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 19 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Error: NOR failed +# Time: 22 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 22 ns Iteration: 0 Instance: /alu_tb +# ** Error: NOR failed +# Time: 23 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 23 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 24 ns Iteration: 0 Instance: /alu_tb +# ** Error: XOR failed +# Time: 25 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 25 ns Iteration: 0 Instance: /alu_tb +# ** Error: XOR failed +# Time: 26 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 26 ns Iteration: 0 Instance: /alu_tb +# ** Error: XOR failed +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 28 ns Iteration: 0 Instance: /alu_tb +# ** Error: XNOR failed +# Time: 29 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 29 ns Iteration: 0 Instance: /alu_tb +# ** Error: XNOR failed +# Time: 30 ns Iteration: 0 Instance: /alu_tb +# ** Error: status output incorrect +# Time: 30 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl # Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:14:11 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# Start time: 16:21:48 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD -# -- Compiling entity alu_testbed -# -- Compiling architecture testbed of alu_testbed -# End time: 11:14:12 on Jan 29,2016, Elapsed time: 0:00:01 +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:21:48 on Jan 31,2016, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd # Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:20:45 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164 -# -- Loading package NUMERIC_STD -# -- Compiling entity alu_testbed -# -- Compiling architecture testbed of alu_testbed -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(71): (vcom-1136) Unknown identifier "input1". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(71): (vcom-1136) Unknown identifier "input2". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(87): Illegal target for signal assignment. -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(87): (vcom-1136) Unknown identifier "s_input". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(89): (vcom-1136) Unknown identifier "s_output". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(89): Type error resolving infix expression "=" as type std.STANDARD.BOOLEAN. -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(90): near "loop": expecting PROCESS -# End time: 11:20:45 on Jan 29,2016, Elapsed time: 0:00:00 -# Errors: 7, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_16.vhd +# Start time: 16:21:48 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:21:49 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:21:49 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 30 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl # Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:20:47 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_16.vhd +# Start time: 16:25:55 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Compiling entity alu_16 # -- Compiling architecture implementation of alu_16 -# End time: 11:20:48 on Jan 29,2016, Elapsed time: 0:00:01 +# End time: 16:25:56 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:25:56 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:25:56 on Jan 31,2016, Elapsed time: 0:00:00 # Errors: 0, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# vsim +# Start time: 16:25:56 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 30 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASL function +# Time: 33 ns Iteration: 0 Instance: /alu_tb +# ** Error: ASL failed +# Time: 34 ns Iteration: 0 Instance: /alu_tb +# ** Error: ASL failed +# Time: 36 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl # Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:20:52 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# Start time: 16:28:36 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD -# -- Compiling entity alu_testbed -# -- Compiling architecture testbed of alu_testbed -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(71): (vcom-1136) Unknown identifier "input1". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(71): (vcom-1136) Unknown identifier "input2". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(87): Illegal target for signal assignment. -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(87): (vcom-1136) Unknown identifier "s_input". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(89): (vcom-1136) Unknown identifier "s_output". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(89): Type error resolving infix expression "=" as type std.STANDARD.BOOLEAN. -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(90): near "loop": expecting PROCESS -# End time: 11:20:52 on Jan 29,2016, Elapsed time: 0:00:00 -# Errors: 7, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:28:36 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 # Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:21:45 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# Start time: 16:28:36 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD -# -- Compiling entity alu_testbed -# -- Compiling architecture testbed of alu_testbed -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(87): Illegal target for signal assignment. -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(87): (vcom-1136) Unknown identifier "s_input". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(89): (vcom-1136) Unknown identifier "s_output". -# -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(89): Type error resolving infix expression "=" as type std.STANDARD.BOOLEAN. -# ** Error: C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(90): near "loop": expecting PROCESS -# End time: 11:21:45 on Jan 29,2016, Elapsed time: 0:00:00 -# Errors: 5, Warnings: 0 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:28:37 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:28:37 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 30 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASL function +# Time: 33 ns Iteration: 0 Instance: /alu_tb +# ** Error: ASL failed +# Time: 36 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl # Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:22:05 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# Start time: 16:30:07 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD -# -- Compiling entity alu_testbed -# -- Compiling architecture testbed of alu_testbed -# ** Warning: [2] C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(91): (vcom-1090) Possible infinite loop: Process contains no WAIT statement. -# -# End time: 11:22:06 on Jan 29,2016, Elapsed time: 0:00:01 -# Errors: 0, Warnings: 1 -vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:30:08 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 # Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 -# Start time: 11:22:09 on Jan 29,2016 -# vcom -reportprogress 300 -work work C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +# Start time: 16:30:08 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD -# -- Compiling entity alu_testbed -# -- Compiling architecture testbed of alu_testbed -# ** Warning: [2] C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd(91): (vcom-1090) Possible infinite loop: Process contains no WAIT statement. -# -# End time: 11:22:09 on Jan 29,2016, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 1 +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:30:08 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:30:08 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 30 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASL function +# Time: 33 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:41:09 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:41:09 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:41:09 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# ** Error: alu_tb.vhd(292): near "1010101010101010": (vcom-119) Integer value exceeds INTEGER'high. +# ** Error: alu_tb.vhd(292): near "1010101010101010": syntax error +# ** Error: alu_tb.vhd(352): VHDL Compiler exiting +# End time: 16:41:09 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 3, Warnings: 0 +# C:/altera/15.0/modelsim_ase/win32aloem/vcom failed. +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:41:22 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:41:23 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:41:23 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:41:23 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:41:23 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 30 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASL function +# Time: 33 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASR function +# Time: 36 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:44:25 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:44:25 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:44:25 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:44:25 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:44:25 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 30 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASL function +# Time: 33 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASR function +# Time: 36 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for LSL function +# Time: 41 ns Iteration: 0 Instance: /alu_tb +# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE +# Time: 42 ns Iteration: 0 Instance: /alu_tb +# ** Error: LSL failed +# Time: 42 ns Iteration: 0 Instance: /alu_tb +# ** Error: LSL failed +# Time: 43 ns Iteration: 0 Instance: /alu_tb +# ** Error: LSL failed +# Time: 44 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:45:41 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:45:42 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:45:42 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:45:42 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:45:42 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 30 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASL function +# Time: 33 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASR function +# Time: 36 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for LSL function +# Time: 41 ns Iteration: 0 Instance: /alu_tb +quit -sim +source alu_tb.tcl +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:49:10 on Jan 31,2016 +# vcom -reportprogress 300 alu_16.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_16 +# -- Compiling architecture implementation of alu_16 +# End time: 16:49:11 on Jan 31,2016, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014 +# Start time: 16:49:11 on Jan 31,2016 +# vcom -reportprogress 300 alu_tb.vhd +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package NUMERIC_STD +# -- Compiling entity alu_tb +# -- Compiling architecture testbed of alu_tb +# End time: 16:49:11 on Jan 31,2016, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim +# Start time: 16:49:11 on Jan 31,2016 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.numeric_std(body) +# Loading work.alu_tb(testbed) +# Loading work.alu_16(implementation) +# ** Note: begin test case for add function +# Time: 2 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for SUB function +# Time: 5 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOT function +# Time: 8 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for AND function +# Time: 11 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NAND function +# Time: 14 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for OR function +# Time: 17 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for NOR function +# Time: 20 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XOR function +# Time: 27 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for XNOR function +# Time: 30 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASL function +# Time: 33 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for ASR function +# Time: 36 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for LSL function +# Time: 41 ns Iteration: 0 Instance: /alu_tb +# ** Note: begin test case for LSR function +# Time: 44 ns Iteration: 0 Instance: /alu_tb +# ** Note: TESTING Complete +# Time: 47 ns Iteration: 0 Instance: /alu_tb +# ** Note: RESET Complete +# Time: 49 ns Iteration: 0 Instance: /alu_tb +# WARNING: No extended dataflow license exists +quit -sim diff --git a/vsim.wlf b/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..2c1beb4e1211eb4ad4969f842b415adb254be04c GIT binary patch literal 49152 zcmeI4O>7&-702Jq>~dFEfRjpc<3NB)Ni3?G z`lv`Lxww#Xa(ZYAqlX@iUfSl+qCn08g7nlw54{!zBB?#qsgyPWin=lTW`?_5N|g2C zw#ebX7!G&mqo;}f^t4x;%q^7i zFCI*v?;rW*xpZIe;6Qget?R?%#;@+&bkn^(XQy0J<-0=ZoG8%sq1>=7-a!BaKmY_l z00ck)1V8`;KmY_l00ck)1V8`;KmY_l00ck)1V8`;KmY_l00f?xK)FosvJm~!PyM~@ zQGP<->Uh~ev8cSfjincVx%3IC&4#qSdG$6Q73HR|h>KX6Vym*{X#w7c%n z2H#usGN1X0%x{h@(|df#0;_}r1V8`;KmY_l00ck)1V8`;KmY_l00ck)1V8`;KmY_l z00ck)1V8`;KmY_lU>gGZS-&~TW%(LE`sbH<{x2y*?ox4j<`!iHm$?~9y@M}}!Tx6FZ9y)C(dS+FH(2(Hx`<{CKA+`D=dzef{C7`?@o!soqj^K$mAiB z^u}JH!QofVz3_bZ!1A@x)SGh)x11jGO8H`G;$B`{6c6NhmZlCkes;)hb;)<6bHEp6 zYQ5R1x<>I)r$G8oh=5*Ngd*``cvmvqTL)ztVP5 z+@#z0{73Yjd;5o7^y^OXOW_GRT`c8Fi>Hds$J$TP9~+K6pA>5i4M#d|A68f7@7tIB zbpMehHT8$ZrVkGlo74RVX?kY9ke|uV4$#{4-0XqtCz~H0ZU3rI)a!VcT9*^UBLgF& z!%j!G?HzG&va`dNjfp$0*B49UldZ44?{qm=1EL=PR9oBiZ#4Ba+~99;jPhY(3>gT3 z00@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@A<|Ac^kt{;2;Pe=J$ zzjT?%woroZJB=5m=awCs5GN{6a$T;)0RkWZ0w4eaAOHd&00JNY0w4eaAOHd&00JNY z0w4eaAOHd&00JNY0w4eaAn;`&pr7+c&;P$HNTgH^pGLlAaOu^-63Ou4yP@IxOr`%?)0hF^yAGnk9Tim zJHs$0?=si@YH{zf_o~q^@`{aOVchqWMz;xY$S|^xXKStHJ1D$TadO4mEYFTm8%68d zeSvFoE#4#*bJ*TStx^r6z}gY!y57u*jco#9bq7io+os%7G*J*=(`>NXw%gJ8o3*bR zdrwsgf?cj=syYFmAObfTWG#$v%)Loz|lch3i?A)oBN2BuXOa<+_n=X1_@NK?R>i{$r z51wRI+hVz0lp{^{JOAt&5+@eLs_|FVLZ0UpJ0EUur{05DYadqbHsMN9xldW=Z|9ZT zh_o|Sdwz!$r(jp_c(||!`En&(FIgdLu4$vYnw@|K5lfz4&va#Y$G7!fXr3}lJc}}_ z>0;A*U-PhXV+`?{TV}hKSV?xDwn@RLR-0$W4(Hdt2^do%)@+lkt_Qs5sY@U#W@Sxl zL*SpG>XDXf#tD4M0l>_x6L_pG9@nG9<}x=lG9p(zlELZ@uH=1>6~VI1aJsY6>kDgQ z{k@J>E2eispI3XbC>5+4f!smx8 z%oc)g<8>=dOh&e>)JLnO*gbA&lyzWSb!(szXC^v-RN?B+V^&u7dUaW*cJj;-bw+T~pSVYl%ddnV$?*;XAOHd&00JNY b0w4eaAOHd&00JNY0=p!j|H(i4{r`Ue6G@8H literal 0 HcmV?d00001 diff --git a/work/_info b/work/_info index 2cc1eaa..53054de 100644 --- a/work/_info +++ b/work/_info @@ -9,36 +9,35 @@ z2 cModel Technology Z0 dC:/Users/scarte9/ECSE487-ALU Ealu_16 -Z1 w1454084025 +Z1 w1454276784 Z2 DPx4 ieee 11 numeric_std 0 22 :ASDNFgHXf_ih3J@9F3Ze1 Z3 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 Z4 DPx4 ieee 14 std_logic_1164 0 22 eNV`TJ_GofJTzYa?f<@Oe1 R0 -Z5 8C:/Users/scarte9/ECSE487-ALU/alu_16.vhd -Z6 FC:/Users/scarte9/ECSE487-ALU/alu_16.vhd +Z5 8alu_16.vhd +Z6 Falu_16.vhd l0 L10 -V4`L[doCoj0zFFee4gB0 R7 32 R8 @@ -48,45 +47,81 @@ R10 R11 !i113 1 R12 -R13 +Ealu_tb +Z13 w1454276947 +R2 +R3 +R4 +R0 +Z14 8alu_tb.vhd +Z15 Falu_tb.vhd +l0 +L9 +VnFci`<6F?:[Hg=dJ6lR0 +R7 +32 +R8 +!i10b 1 +R16 +R17 +R18 +!i113 1 +R12 Ealu_testbed -Z14 w1454084524 +Z20 w1454271521 R2 R3 R4 R0 -Z15 8C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd -Z16 FC:/Users/scarte9/ECSE487-ALU/alu_tb.vhd +R14 +R15 l0 L9 -V^T01zU@m0mV>9[Y8LTMRZ1 +V]mEFhNP^aWT?RIf1Dml_b2 !s100 QbMF=m9IU6g45:_SmU9lS2 R7 32 -Z17 !s110 1454084529 +Z21 !s110 1454271721 !i10b 1 -Z18 !s108 1454084529.415000 -Z19 !s90 -reportprogress|300|-work|work|C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd| -Z20 !s107 C:/Users/scarte9/ECSE487-ALU/alu_tb.vhd| +Z22 !s108 1454271721.824000 +R17 +R18 !i113 1 R12 -R13 Atestbed R2 R3 R4 -Z21 DEx4 work 11 alu_testbed 0 22 ^T01zU@m0mV>9[Y8LTMRZ1 -l69 +DEx4 work 11 alu_testbed 0 22 ]mEFhNP^aWT?RIf1Dml_b2 +l70 L12 -Z22 V1l0`?LQ0K>@WMnI503`z@0 -Z23 !s100 @Ro:[E7>V2uUCG`6Nkt82@Sf zWy3E}L!vQmNWVR7(`Kc4>Iz94)h?+kw1_tE?F?kV02lxRU;qq&0WbgtzyKHk17H9Q zfB`T72EYIq00UqE41fVJ00zJS7ytuc;2kuuE!x!L)HJmH6J{(vrsrard@?hp8$7T- z@JRH&p{Q@@zWdikeLU@35}T}K623JL4Mjg3ePo%BysPnbVlp4g>As=pV?)b)4WW^# z>DIf<3}&nvW3iNBy?pecH6MF4N>_Aq1-;9BEKOHHYxnm0=QTT{8j>>kgkCWUV;NH) zj!l~5_1D9Evxob6*zC9eV{h^Ex6DhT7H3BX+P0j^(oMXJX3Qok!WrL^QN7Ccy%Zap z)cw|8)nE5pui5V4H!Sl}wzv0RY|YLObli4t@EV5wWX(*n^X(4&ur9x~Utea@Sq=l`hT`Tt!q ze*TYc^ZeiL!O#EEoX`K8?!wRi>t8OQ&;M<0!t?(&$CsrI?Yr;LUeSK6?ZtEdKcsoo zKdCe7KDDMkriN69@}_cLc~04>WR(Y%yA`|qd-)~#t8z`=Aot4)ysvv_yx;I{^=|Zr zybC?oJ*Pb1^lbNh((`^#r~6I!S@*ZxJKQn%3b)&J!*#*+9oOevy6Zld;{3Do7tTY@ ze|Kh_QD;}@UpudKe!t^MN7=F7@ij+_W2SRwXQDIIc}K^uJDzR-QOD+vNXPpeuea}R zzv@`tUU;`1QrI3a00zJS7ytuc01SWuFaQR?02lxRU;qq&0WiP};J z3&W+8kptGjedv0@pG-K@3Bs`VnTl}s7w zq#xIM;xnk#fj7NtYRKX)bFQclB;%=Wy3VdCzr`HlOtMlA)ykN8Vi2`}+)^)bx2 zd#HHPL?Uj~)qk8|4_nyzTd*e<5hwwN?$ zvZML_Y8G#E?F~{VW-&3o6$E<>0<5@w^tY~)NXTrB7jygjuegdrCgcH*w*Oet6{ zg)5{^ogpVKW7cxUpD=U%2~uY7UShiUpP?AjN&?!aafFlGiD)a6sq``mdO=!dz;0yaVAh3?GNjOiJ;c*?ag(j zet~G_OfH@sjV0Uf$IwzzsL3kS#G!1Uzt(4xjV8>t$2lX-a;7j4uIl6jD*b{mlNNJ> zGqtKen;#*}Wy0hw<~nE0zCgfVAk60pld_m=oEZ$J;^{%WJ(SsOF;_VgsO9|S0G2rU z7gFaF7IVd7a+!26i0e>>{Ai^oqI8inr9?WBO=F3Zzae$Vb(1ltIg|5eM+e9Ub@G1+ z^C62l#+gCWhzAmQd(2+Ktgx7)oXIBBqod^HpiIbO4s*r~79%zC;W0LoI?FBQdCnw^ z{()?XFvEmdVlf9eGor_11#+<~{+Tdc7V`{e;(f_j$Rx~Lgz;F+Ue4t55hItz>nc7# zn0AZV%^AIzPDTc>AIjWmF*`XEPx{Sd2s4HINu7BXvx75{Y$_NU#OorAZ|fZh(L=G7 z6V*`FAL+veCyryH%!x85l958KT*m9E6BC@6;6y%LDVDQ@z?TePh7%c1ltvSUY7%cp zorrTH&WWlKsP&UGV!R92*u;rVoG6$4wIKPt)Cqj&#P(%~6TxaI(^tba^54ca@ckSk z)^Q@7sU+g$f>S5(Z4M(=b0Ss=XR2B3BabhUK71d?h*g{z%^7_GavzlM!34geVnl=! zg~3E_gq%&e8<@cNZ;bGBB53w!Mn>><)Cqj|#)zeyh!-Lwxdf?kH?DziXBg4Li9)|& zX34Rw6ZnTXBVVx+80nsa#P>LNuEFFV@Y-FRbj?M^jrq?~ve!w-`t6Ogfo#0Rk;v+C(cVsu zcFu|7J3_X8Uk66%x!=M4j8>|_JaJ5l`4(_u0Vnd+V9>AQ<1~h^Q@$2Xv~WVtq(bQw zaeNB3&X=!C8{`*k+6nFR+Ij5>?IrDq_Fe6O_I3O(0sN2lLG7ycp!S;fu=XeIG3_mF zv-YHx)+*YBx=&lE9@M(k|JIhNr_@>XvevAH)Hl^v)F;)~)LrUU)l{?Ud({oCzOO8rMS#(9Z!lG-^H5Ofz*tsGdmJYMrG3l7b_D9-@e@hK@_ey&ibwE16qSMmp z7CXYfmM*1ZBtpm4tLZp2M8|lXjuR7f+`5&H6I?6H~yF9FN(dndPOA8%4I_TKbLr1@#j;mJDaosvPZrU_o`JuGIwL(%idY*RQa2LFzo>tF~ zypMXS?&YqG^0acd>sQJL+@Ezv<*IAFca`@$%EPi({)qCQ?(cclx!v+S@Bewava-g5{8g#BR(N~8S3Lja{;hYL ze9beWywCL$cawZTo^`$9ZI_>x{n}5p-)Ym@es!6=S((Kh2+k<4YVXnRRxhY;sC(2O zs6Y7UxRSwMfB`T72EYIq00UqE41fVJ00zJS7ytuc01SWuFaQR?02p|O4dAB)oi;jy zkT)wq7dq^?2?L*3NSlD5%VL(cBSB}yEbYvJX2dLQH-cUiv$WXm+5`eUC1z>c2Q)2aX`=_UMa% zEM{pt1=J^IX#)k+D`siS1k^2NX_Exx6|=N00&<91+6V!)iCNnE05ywQ+Uo!{iCNm+ zK$;b^w4Z@=L(I|+2GVsgOM4bb*TgLCQXpNuC0p-HAYBo&v=f1JQOwfb1JY?ROS=t7 z$HXk{FCZNiv$UgtbXd&N9s<(yVwQFdkPe7h+9yDI#%0HiT*%aAf5@8PjAtz$zXh*P z^_O;X+>8tO%OXx^{YyJI-dH_mq7x2$sX_cwLt6lB6_a$Qv3s<9o46h z^X5=aJML~Irf@$XbZM?U?jv<^jy#_Kj?T}O$4B@AKRAuY62IY`xPf$*e~*}?6Z>T` zNoTWnh)FtueSz2B(?j=sq?%OVl8!p-2v$%16*4Y{}owr{Y zH#)`5r?cx@#M7HL(2(|uS=urKf1kmQdpgmN)Z5!Rp)-GHF+Pf?Z@-uv%u|17F+Qdz F{|3cX`o;hN literal 49152 zcmeI*UuauZ90%}wlH0~M#A)daU3%~Drb#ee%QQ*z4;eT|+y-;#=wvFA-ZZyu)+9}H zZ~tr{IvGRoVGexQ9z>t!pMf~=VX%jZg4^RzA4CM*p7!Xb4?g(dxjF4g;?m-q4!(i* zmwWCv=brOP`gC$nUsx(zRl8C%%B6WDVJL>enDVS)D2g(~e>xu?e!w~!I-hqmKln62 ze<^+XUWFFvdwnnEsPDlHS|9)c2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG|gKNL7!@cM#8?Cn<^vsATeW~Dw?sam#tqV7Lh*f(7;ruRK}xM0X;BW|v&SIWkr zBh!Tgg`<;(`>M|4@=D#TS;lnX`1GXF@zk!Ivf8h5Dm>HfqiW9E?Zab74!v}&ATG3d zf$>RWqgh-)^gTYF=<5#_h$)qN*;==ks};v8nk&vy>$oU~T};ZS{R#Eoy(A%D(l^I^ z!JRwV>8Avi*U7VSIz2*m1xhZb)0M;Z%l{3VA2rT@$n};{lRB; zZaEu%g<`_JvX_5y)BykGqlQBF=r(;zpV9?7!w>O*00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009Ukvs++9lg6b=zt>HhX?Y zpBiS$yj8cFrc+s}DLVePk^UygxXD;UjW$k7+?Qq9qa#nqkR3J zZL$8Z?&9nJtmpc_cL!hpZ++oFtp9rgUF-h=?L#FvdPbr1`gQ$)_J;PFmZP8OOR^|W z0sXf2#OUv%x3o+8`}(MULc6cs9KFQjct8LG5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0ubmWpc(l`7z>7(>S6h!W7Qg~vrDz?Y-+AF7k&Bi`76vH&+$Yg;^B!L z-w~aMY^P+EH_Dzyb4|I^N_xW+t-jpkgzRheM7_RN9#DDYBJ+piG7^`O?Bar%OAPe! zNSXPKm>f||M%J9!?5Y#yi5cFBE<4d>!cNaFCl>~MJn}m4BqTct$;gT2x|v<)ot*oD zCx&HWSSC)MvaD=#j3>The(vSt6E~7Fn3TcP;#y|T4W9p;3uSpi2xWT-*|p>hPhMf( zct$2OJ(6Y98NAj?`p2i-WX!dk>PQwF%}UK|p4`Ku%2g2!2U-JkqX9WUXFij+rhG9z zm9O~-)AG9Mj@~xk!*a=Py+Qol9#6^ZraF@C>y~UbC$4J@wyx`bbOz;h>-AM z=dLsV?kG=;B;1ij-Odu3O0B{FR{_6KzI9{0`{D7pvzTGt-4U6M^v>F~Ll-u(V=_C| zGs|`S3eOh#7{W3e?wu{!JC2KN*vqrQusdF_oYAT5VsqUbILoJWnfarJ+g#py?S`WLtliN2^#bkDpVoiT?&%@@Rl3I4 z|L@Y9^a1TBQ~!e=*FV<3(UiVeZ}N5ANWQ+wz=U%Wid!-(;WJ@?6PIcMn2rNDvrRBeBjw zblyEbwe`u8o$BsR>@zT^sUwM2cfGb2h;DP%u0^&!%V##W&DoM2?jDTTBETC|N5tF` Nna*h$J=;q*{S8$j-|hea diff --git a/work/_lib1_0.qpg b/work/_lib1_0.qpg deleted file mode 100644 index 20ea900c71eb5f2769a39e21c025e0e97de52f5e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 49152 zcmeHQdz4*Oc|Z5w$;mb0F(Cm)40iGW2IMir9pZoiCnSLZLK4U%1klVtGGqcF8IuW& zkz!P|vveZyu_Rb&XQ{PQ8g*RaZD6tLSO%Qc+BR61W>uDrqGkT5Z4hj!7Si8u@9#VJ zxX;;h?@SPF*S%|HzU+N|-(!FK+plxZ-lw_d9j!qy{mB5o4Cq?WtfX^7Ye3(G{Ar-; zKts@XgH8v1D`+0H8}v<}yFh1v-U~VtbT{Y;puf%p!HJ-!g+Xu<=p&#f3#|pM0d6*E z4s?#tQ$djoP6Gvda3*L2=vzVGoezRppe@_~rS5dlp<7NouMTwOw+{UIn?Yv|UDbL9 zXve)@+VvLD0t#>n=t2q%vQOQ+eaBrjK{y(KWJaY~8+j z3-dR2G&PYfY7Uy>db?Jx>tufWwH;TJZolK&cGBH9-}X+@I~KRDBJFAlR*`SD=v^Rs zp(o(=-mbo0(kN|NGdnV?mI6;uT9XuUY!Iz_@v7chOT)tO4e2P~&E?*uO zs8_EmRX(LIU!B9JIOSLB@->v|w(w_f=4y2^K{%hz3oPvyCM zeI7o=t6rC{zYL%9yL|f{KElS2uWa|)ew4cH z=xq>8+(D6t@Wn6LZ)<)@U$AR3?ezlDb7Zo5E}oj60FGpPQoDV0`>x&|`fFEj&@+|t z)K{oy%eIb<)U$n4m#H7E52bFsTqyo(tx!J7!TDivBNUkW2c1m6t@=7E)VFoZHlwGb z(&Xe{Ecq8Z`31=@IQh+z-^_e%Csk_4CD#vZ)gjt- zD=6E=kEEWr+}*R4e(buti|ejp?`^$YKNPob3%0O5ELpb9#Os>1R~ouiwtPhH^J}AoipeXADGoI(*r(fB5|2JT~@t3wwt`DY9 z%gwU=Nj6h6BM6Qin{yBSi(kZH<8eMLCK7UL87;WXef!R(Ot6J9+wJNy(T=HzcZPJZ!2coOWVJcz?D z8!m)Grn!zldcXREDXcGyA6`3_FL2(D>lxZdzJJ4CmWOHDsg&geJ`_1od6A7@HjzJy zTow9^dJ4P36%aYY50S3()`hFaZ&jN7p2SjgZMOmoQQm^>j&gM zN5UV2xJrMhex~F8(00}){!kqCP2>;CpXmFaS8jZzq4Wg zB<&MM58s>>HD`;7$LM7EgyPF7iVjY2c!Cxp*QzEuL(@oEzZ!Hm%t|T}qd1KxZGQ$9t4Ecq@x=a}{+VO-@%C%*LHLL3;WWGI#4eVL z?RpS2ZkLWXhr}+$G5#6nKD$(|+Qt5qb}baUOd5906Mbib(ms&~lpQDfKw($&QKNrW zhWEYGaC?wT9BxK|&dU4&VJY(Z1y?J$dcsn0`;bd{^@5|FX}JA@YZTmk4{lI!t%6(N z!3_y+wcr+daKnP@6x>-J9NR6+(IdFCJ-89U?GfBL9^9DV_6e@RgJb+s@1Wr1{&k{$ z4-0NsaJ+w<)@~b6|7<@-9pYbbQCi!r_IvI;hxoL1Yv%{K0j_h?>bFz$s{TQs;_V|( zHrO*}?9ZF=k#^pUT=u)#t_>{KZdIC^-Q}OCTW+- zRl8VkwCj6fmr28}3$6TQyA!)wah?7c1dZ3Dlp|~tyA+3{i6>t@9u~Q37w1>B>!)Iu zNyqGR>v6n{U2Mnn7jDKFyIYZ>{pIXZxoX!b;GjWJ9qd zT0i;t!JhhK{IGxGd?wAmL&%TYEx5?9<@`u|TEEEnpx!$CP4njw~UgFdA z+I2u~;LW0!aroM?(t5ED0^@oGm(a_0mR3*1r|Grx``o}8qIVed)tKJZj$Xkf^!mz6 ze41Xy7ybMe{H32=z5R|}!6o$i+6nP#da0E^_Ah-wZm(_cxdFX@!*YN#!#Hmaa%MfB z7Jv1)9-pUh-49&F_7R_EpPj$w1~^Yn)7$On6Y6!C)iitNOTJw9jwj|i`fY=c_1``_7g)K8@noUsbH@|Ozee~_JN#uc zlAjn)Og`)|_?SIpOzWq9TjL4a8~uJ3{-*iY9Zv)o)la$cg!r`jw(H&8z}ceL_2&`f z$NP1`CG`6IMSPlGyME3MaDA6nUUxhZTtaUR_|xi%_%yw2Z}f8m{!*{oue;-k;1YU$ z=(;)f?4 zxm+Jo?qed?q#>8LO)GuMH$^B%wc5sOEB*n0SmNteoX5z^E@YH2I=nGPM?0FlorO^9O&q*zV(U z?R~nE+>1qSy~s6b$eo9L#vkK?aVB;GW!L3ucV{I31H^^)K1WG@0lD;Bx5X7q8uE3$ z?~^;^$hG$iN^+Y;?y$%;>6qLaTxT5cFW2j8??FedUGJCVUIIMr9TB-E9g}N2kfhu( zN3LD3m*g%IxraoqNkgu09k2EtcI4Xic1iBrMeY%iYtk{fK0k~*a_xG#B)3K69u>JJ z4Y}<1>e279e~b5fIg`%n^$g>PapUS|F6twc>)|KB$aOyR@kYvYsKc-HJj2GpEOY;y z{Z}Whk`hH+KNuLNjH`+C$@TEFW%Swjm=)UkuMKTKmej|1n@FEr55G`GpRM0np{>8# zuntnw%EvgINS|B}50ufDH}yFywEakJXyY+iKE~%n`s8}}jWYV`L|?t3wV{otq&}S= z14g}}&X3<=)B7dg5VmL559d*8{}|G*9h()!#f3;SE*5+Ei6_6>Gw$KP&BIT<n=8`ZQkX2g)B7>c-C%$fta^Tees89z&Qr z)x7sSEjPgToM*<~YtVj2-%~g$^{eyY5lErDcY(5>G_)quizI&8%v$_K{{XGFou9z} zpgucIN03cC_cxbu{RsZUe&A71%4a_N2|J(8hU<-C*{~J)#PtaU!7m#g6FXggJ1F1Obo;`$9Z?Qf!ap7y(+f+JW?jw39mp|SpI4e}}P z3W|aKs)wogyixoaJr}6Ob&fZyp_%eI&QQM4PmrH>N&jc&L+^r~6K(#-8P_#I?m@=q z380L#T2RKz98lK#T+s7C(VsrZdH6!m^Fgt$e30|!Mo`Wlao_Smu16MwHi9k#<@#U+ zsIjLev(L7p+(0YxSx&c}ZngQ@A(V&Z(s|I#>9&0I_YYA%jyJep9GW!l?_40|H|+TH z9{i&}mY9rWy^jgM&U;*b)(8Bw*S6c-z*3P@slBtrUe;&aUXz}{-et#O?|Rs4`-$AZ zRU*fYOV_`@1de`sr(>r{PhjWO$6;qX?6mz+Zr~b`Q>kB%ioLC%ale}M1omDFJmbx6 z|CPi6;&-p@|8fH>L{6plz6iX=VccHE^Jng*_ zG;Xg+PhjtA(K8i$(NFBP>-*fmjgz$ZC9#+7Gj6X*PhcDkPPPX!3jU5lK0);)Rhd{CZ$#5ev$8Q-Qv*tSNYnOhQ{T0VWxBoNwz%7+> zwpqE+_+ru%l#}a@sn*N+C@05D#?b~tvx#!Df1IeC=6bB0?B~+TY4U+PQObFpl^d1Q zq$en6huAlj`ow%;FXsa+=WQYf)q-DXzQlf&<>We@^?3l4^=a3)wV}D5V&Cmn&O@hK z3N9NT>_6jv+a&T*|9>JV_y4n<#rOYjL7Myj?*Zlh ze^T!M?*rxj|8JvQ-2Z=h&hG#J2`KmflTKy-Kl!--zwKk2I=KJ;^zXjV!~Op+HGlHE z-2eZ`!iM*9|Nj?%y=5`?|6|jz+5d0HXaD~H-mab8pEi1XS7{$M_U(*rXm2$8;difX zy_?q??_PHW>7}>c+(Npd{d(>P8NH<`xDoqNM%nMdlhtzogyLiy{__I>hkR`!>96Gz9A2v z;#IH9cd!hf^1FOv4xi#wk5ZTKu<+@1m+y^Aa~;Mw8W(%sD80UNt3A9yOuN*csZ!N5 zRjT&Xi9J)5qkK~>hw`aCjbe|?zl$>eE=K3PIOhjpzF_ue_jK*B`@lE--`EG7gBf+xS($v^IW=63!W?K9W?<%gwybe>en{&L)3OzbZo zV8?;yi%Rvmt;t6IF8qi0y=2`VzFzywCC=>aEa>6-m39~cs?Uq3AtUYmx^nx==YfxQ z%kx)u_xP3WTeKpD_8;vtpU=xF?5im+yyZeLe>L^t;WU73~AfOMZjk_4>0&6R&<1YTk#SUsW#sz&P;v)ygaFpJso? zax{a|uO^LhaGsr34z9z}%3<@9gM`95z2$j`f1q>ux#N{#cITNtQ$H&~i`?%drWR;p@PV?6uFSvSR->l3}wDlpF^kV|~J@|V8!_U4Cit9FM^6a0q z_vP{4LuMW>v!9r6VE*@xsgM06dkg3ZYfZZJ{wlAt{heTO1(QbmQ@PUr7`x){dFXhm za_u-;lKUo+TPJc&8gdsRo#ro85A(D2_)B{;Vy_+7N^;rmX>X&&6-*j(edC?VWn9MP z+Hs~Nm-R!rZ6epCA=fwlsNB_#+)XB3l1sl*Zl}dX``R0jp2!c~77sssfVj~1+a|z9smF9RB+}{4(w(_=g?-2R!^T9wzt?I{Y8? z@XI)v;2&}L_5FLd{4#zf_{SXn{T}@?t|s^oIsAhje)el=^?%sm|DuOq#^HqiBM$$N zhhN6$1pm0hukYKt{*!S#;%^haqYnSDN572c3I10c{wF;AGR`OXWt@-tk5Lc5jQpZfV%|9Qs7n?7>Ox@R7|;`{&p!UI3JVb{Zd z`{j46J7w*&pSgVV-oJe0);;h0`To9}@&~TG^P&5?4}?n>%=qxv|KgmTpDDC_{_Q{c z5lu)yyZQGZ~n(GrWOBg zG`sna?)vMmjjlOq`6tJp|JQAgeC@gKJ@BDNztY%z=;4Rg%pCsFSMGi0vOit?%uio< z>t!DaPrvDm=k{h7oIJSh@L3IWe){|!XFb1V!CHcPpZ~9g zH`1Q}bKda#c>e$1Wgpv8J^#OY{=c5{Zzd$w^Z%b@ua@@Q-;Psn-1C3m{d?NS=bxQ5 z>~BgGN&HIB;n_d497jMg538R44?pnzgjwR3Rs=l#!nmhDZTu%`_56Q0C>B?ziT?)0 ze_VD<2gqSL*ULl;Kl3E?Pw_r| zZDshB-_^%;E6b_ZRlZAIzV0%7D$nKX^YAHN^}2lhW%!ie<=gM@DNgk$b@_&bFM408 zdj7xZzb}BJ%=ipPYX8mt$=83E-oL7z|4+YlR_M3bIseu3|KT@nr@yb1{YUlu|FC-g zKLY{xYYhQC-uE-?eV*jGGkGZBbJ$v=sCxdt_&HN={Sx_~{RN%_MCo$%L44Z&Y`xzm z{@!oLyV83y>qT$9&_U4nb9l;STr}8udsvSYpC@tM$mj4PFS1k9Dp#L(bN<5T8k;PC z^u7k=AU|AA`tc`BXpCaeuHJ^hcZcLvhqM zkv|4;opRM5`o6>gn;*R|F&pVLyM`^!KDNMfQ>mBeJ*953hj#EB5!QoJ+KVK9rSD~k zyvQB`SUZ-z(t`J%lQm*-3Hz+SOYg~4YM;o9?9=xpz9aVN`x0Z47JMd; zbXq(evbe|(f{W7S;)(dQc;a(H#uL}KY4yhY;jDMnKL}Jjp6CzOi@txOIE^Q5e+Cw- zN0qDbq|cq_Sbf#=|E*uy49t6V{h*llH`l_Ue9xgCY1WtcH^S{hE^+mOo9Dsp7hI#@ z=6i61f@>Ar0uOFTaH|Ek(1RNmT&LiC&;QwOS&kmTo$Zk~BDg(*JI8|?6Wl(*HF$80 zU+NtcobS2(VZjXxPToUEi~|ieo}+duxG1gdR{IsUgZOujh)-*`e7??h`!++9anLDx zRsSGx@phZHeAV;+tsk=NkJ*onoBFK$oc$s3v-V^99tPhtiPfTr&f3c?KB(&jr+?sEX;4jUy4K0%x}d1QTszSe)hcl z`22?RE6ykEd4&0bNn<`C?~NqtalDLOY{%5oGfBHtuEy6XV%N)7U%{kdSM~gVTVE~k z56`Wmx9M-rYd(m5@TUsZ{ub^t^GmnC?LmH=pE%0tGyblP)(bv<15f$G_+fv2I{v23 z?>K(O^$IR3huY0~H|OcD-NdJj6O0e)t;1jHm2yPiZ99VexL&~}^!mz6e41Xn4yd00 zFZGt`hXdn&ev7S-gr9x&OMIH2k!^nLU;2{osoukS184R8e|UlCerSDgp2z;4-hqF@ zx8g6_N0ctt-iS}Dk2g~s+8f_*Ol#*2sF1i`!6o$i=7Yqi={7?)AZ{6f+&`^ z9)BlOUgFdAGA^lC&o?zm$k+2t=OH7_p81k5*Q@9M(~Bwny7g!BFW=jy-?@HD^RGLe z2rdz4zW#>zwE8}iYN7XR{H5M<hY_C~#2FH$epuM>SwMsNwezVZ^ErnesX)O#-ers;LZ6Tv0)`s$bXG`-ZyAIB4( z_r`e!?MU0t!1uZBIy8A763Zz3veond#Se@d_WRZI|G&k!sGk3i4uSEY`$X*hg3^8t zz8{ZvpW%H~;0h*+O=ge9xY8kBD58j>&cJr>NXe%#tJkaN|66}l&;K{^Gb^k&w0i#kV~C6R`lbtM-k%#a`K9j! z*mP4Yr`e*UiJKc+s>-z|JR1g%EZGuHn%CZx9a)-vuCis;(dhb`TusEcOCq} z^Xj>7<9BpSdV+K4I~aabjKAvn|G)e5|9RgmZT~;td*c3oKDXid{|i7TI{$w+uJio= zJ)k`Qe<5z<@%;b4!VSKYn2!e;JpZ3`D(C+{3qGFz|ASlq(47At{{54m63;JQN4#P1rcw*Grs{kWy8i|4A32B7rgwq19d^o}hXjX!l? zKG}G^dj9|Mo&RsYhnIWeKk-5Xp5tZy%hD%alC*vwKyZ)$(&j(@vQWW4uHO$hg7*0T z;rju36PKlP4W{}%fqIN{xKHBUhl$JhO)F0IdjhQ$%6Iq6PW5{Nos-pfoZl1RbL>j| zSK7~deBTq`edLPty-wc~;Pb6Y^u1o+6To0xkv={r8{B z>ANAy*W%$*yvlR+wUyyhewS~(!>2gaqtxZ=F2kpCT)sXJpW=P``pfVszpIZMK3E@m zUFEyfyL^WoKE1T8bOBYqC`JOqHrV4Ny!!O;wKaP1b%FulBTxJ??eYqtw0brWIF?`uNnI z^#H{Eqj*=Y<|{3CU2#gw;ng15w@}=)%YF~ZcTUr))l2c|w6M5rd9Vue|LA)RjY0bo zez!pRnk4O>Gj!wfHOtB09;{g&$9Jq+YrcaJfMQ)xOgmqP=R)!O0C9@xDt@K&p?JTB z<61v(^slVricO1x4%#d0yrQi0in3lQ%6g?pX*uveFZ|;|qwh=X*uIhWckSE>Jz-J5 zPtgHIOn3C`FyATK2msRoD9fWb{full`;)bCzg;(W(KzlX8N$$!1SZ^8O!eCc;C zzJdT_9BG_Y@|}yVScg50c_H45C}5d|by+s^ISR&eR;9l0T;v5;{mzB)SG0e;!OpXP z=ij-QF7?wkNqgpikMGUsJ}>smysymny!rm0N#p&rg;qYNf%ui))2l~{{L){Ce4mi- z-Pru(cNH20uh*YNI=+t%-5P$_uO{VCxs3CPzF$y}>+y0>4$Hy!7g-LIMmf$x8uAn6 z;Qhq3a@hQ2Ia&p;*WGg1c9{+LPqG|pht>z>upBF_zBl|k7xG@Fc^?Y>O;pe2>Vx;+ z;`M>spr#y~q#P!V`d~by)kn9*dA}z?e#XhT_&1Lf?PEPp6elWI{mb&vzrCQ06O)Er za^El!Cw&&@wTpJpu39t{+Eb}rDp&1dd!}6vfYL6LhF#}Vd`kT8K`!)F{GE$NV_*62 zT(kcK!oNd;>k*us_np8E3vQ3#*v`}JJ1Dq)g5!I~ zX}A&O(tm@3<9ozuxG}*E3y$vvr{N9>ZbWb`9^7HU9THr*?_3-aoV@>&@XNT!J1V%v z9=%5emq&x)xen#Nb5Sq2D?IYh=#2kb1ouu4E-$#%f@}5QY6aIVxFsH3o#6He4#mbV zo0WNXNPlpA$%C>z31xG~FKfPIf$tP#6#rg4K#Gk=Gl?h8@YQ5a;JGYw@t*bxCOC@%;&iaN(CRD2_)@!NO07j)}K%w^oKvhhMAb% z)(yy)eKNKiM_T56MqhkCFXw;chZy50O6BiQM1LFpPyOaPo~vsAZ$Pi3{uvO+da`nZ zK+mC9{pR`v`X3d0X&?7_DWyQBt=y8oh9`;F_)ftxzYl*oZafFX}H04*dnM^L-VN*X76dNB`>n5V1GIcF_p?=Yi7R`Glp6*IGW- z1O3d|66=Hg4)!UBn?T9W=jbdi>y`Cu(x_jREA>fcb54-Ton!HlT)U1a$z^+?+&YUZ Nm^9=rM0z57{})J5oL>L{ diff --git a/work/_lib1_0.qtl b/work/_lib1_0.qtl deleted file mode 100644 index 04a336ae0e3d8600d7880f08f5e04c1b4da20911..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 69443 zcmeFa2UHW?{x%v?Afbg&Ll1$_d+!~QrgTsgq&KA_AVm>TRIq{cB7!s#kt$7)B1HvJ zP(ctuK&muR0nwYCys!71<9WYz|7(5guJzqZboQP-&-46#d!EdmNtnzG1hV(qb70Sb zJqPw2_&?17ihw@)Fy|_Y&LCnjBW|kr_X>0tnB2B8Ho6CSbfmhS4qXi?ke;L9eR!T? z+;_d0rNQng#aC4yRI(b>YHd>)l z3sBO^xfzUu6fhgE?I%E!b-HR1XymF1f{kzTr&&a!pHOF?mTnKY3(NVo8H{o%rilu( zJ;7vU1`8efsSh+mPWx7X=JXH2&j)OlJIBQ$^l4u?$tZ?=GPQw)X`yue>B2YJ%`nAA zFqJIDN}#c2@(===$QXfqzx?@x8zK)X=`EO~SerlgbMVKhq3ChwN{TEB#tX494fcp@ zKy#Z-)C*{;FA@0hv3!XPqCc-O++mYGydkEV?q9HhqP~s!#pjP;eA*A&T;3T2nzIv< z3qbRujPOSAxu5>DXw(>E{Dib)pc}_=-<4)myDd}niG$CX225d%&(UvzrvKV3575NS z3V!?CyF}9|mhhIj_nJ&<{H(2M!J;NA=_JcVZ`fHh)kBzatP}&#^f8ud0?nlI5{30yG1cemw%3sZV(2Z^{7}8X;j3uAWO0R|%)A zYji-VBH63GLIZww&!7FnH0Ysfcx?4SS+JXT;eK zFuQtPNmx2c@!2*eXmK4{T4a9=QI#VeI$G007p5n{uC(I4Wdge;ggV|!>mGb<8tfseq6mA!~zq7kqy#d>ow_Tu&7dVM3Ms8R%CRhLe=_=jJ+DuO{C z@22yd2mL7or*hC|4ttY_Pi~DzJyXA24}+jdB)n-`LtqHq0_r~4PSL)Cih1}|Mh*fh zua_z_mf_}g0r-J5#MlzP_<3hveKC{qM_jKsdx}AuEo}qhE0REcRq{J#fILWgeVjOS*KD<2+N0ZQUh7KX4 zO^AUV)56I63E+0HNHtVz3IQ%Sc$pV<!GV<~oI9e!4G%x!(}%jIiE&?JaL)DF$#+GF-NwJce<7fQx^YZlSED$dnDZf- zaaVhz)85=h7}OGEt#6xPc<=&ORj|7lM}`kC;6CS#d{KqsOd>BapNV)SkGC;8VJQmIYakz0uM9D@Et`wt9{wLsD#>@a4S(e68(i%w(= zRd|?9tcvbjnObfAdBnjDe2RRV4qE-3+!@^xInK)4I6cvKt^60B}m?9gEd5I z-clON;=6N4!|au_lqU4_^+hcz>0VClJcSTj?J9&qGeM)nQ4KR8A&}RF{qmw0a%}|e zj;ZBDx%0EDNYdT$6)FxWMkuauBR43NnI*A2`EfOTgs?aqixq0^cChmS9ZaIMh1~h}3Un|7*%~e7Kv!8u#r-jQ z^-(aDKIMm|)tr1*1(t%%FGj35m@$W~DTIr%Y$E08#cdai_^(TMBNP`2)YW)p43tlBQ{So=6L(%C55kEYu;!z0b@TCP}4%UwfI zWD!nQ&Y&?TJOo-|u&-Pi@r6I(k}e08lEWFODF*2nkO(<;ykoMj2KxK~NugD~z{?pA zQ;#4VEC{bzKGgOYxt-gqy zPNx0!C}#TD>8}Re{q(c&_unCxZ!*>lf@8qmYtMl_2lgD;b70SbJqPw2*mGddfjtNI z9N2SU&w)J$_8i!AV9$X)2lgD;b70SbJqPw2*mGddfjtNI9N2SU&w)J${=esd6>*iY z5Ll87Y1Lqf?xY%;Mn$f{PtG7eelbx1-a80|IhMF&_ZO0)oLK+-#X#|b)MlV0;cyB{ z31a)#FD7b|oLG2}W}s;-O2PNUe zJl4bxf;_gwrDq`&6b^skq_{+!w47M$|J5HmB2J7;VNd2;lG<lg9ep@gw~ zC)N;3SmJ=-IK`o}wbieTaEIUe*hJ{u=0Y!Ay*xk4&R)#rGdM;b)s515jEtq!5Mv61jiCjL!0gd=epAK%QtZF z?9qzu<+b}R$2%WkPA162YEtE0ue?(_ZgG1nc==oOx?|PY2(t55AFQXmb2Ew9)baoWXSZ(UVHZrO))Wj}Nw_84MW} z^k#n2u#(ZG((^vKB(IzQRHTU2{(<{eTbN@$L{FP($nB|k$8^G_JgncrCF5!>nQ70) zSMEx+T+6T9bhgjtFxeE&Uhq9wQO*gkMJrU8hF@F56z*z zowiej?TYJeD;jHs8Cx0c@~`MTdA8_Y=xG14B5G5=1^H8qKAFqw)|!zT7t;CR4^N)j zMN4eE-L2!C-_|m($WM7@bn}$+U$he{R0Y-J%ZY#*mAsUbJc@-a+Ym8Vsk@j7%ngIWSYE}XGpuZ&S|(IYB$ ztGZ+8zM9&qiA5f_w$ zJ#EG}y+^qq$-%Xj(%ct}mo^&tb-j9!b#)39onK?*#4$rT@lO}G&%0`5A1a_al3IbS zQl|@8SZ3B#cAyn3o&Py0pykeSa$mPkk6hoJEM0q+)0TOOTt?_kMKg_Q!0cHGBqn-t{>5t&yool2WLHy2e7q`F$Xd@FlP zDgpAr>{ktn$)O_uIa@8U|E#-Ks>rI#Q72^u**1}53a%4{OnT+=DSA5jyu(dp)SC2J zYz4Pfx}cAZeeAb)L)^=4c#@t?ieU#kPf-L?-!cwZD&rNgy%#s(yk5>C8!j*Yj%r=W zYn#*j!~-48dph?vXUZH}7A8@(Z#~7?eoDOGz1MVJz_{Ixzra39_2qHA+`W&L)NM`- zhdV?9o5xH}1kQ{|Xm)=-1?#t{Dsb9Hludd0xwNSEyem*tRdVCzT}pk~;HIBp6er^- zobj`~?(EE&q}~19}^3yFV6<@>W%jsVJjPW(h zh0ROkYoZ>!P55VLrjrzFq!Le|9ZdjSG3k8BA?F^X=k>dzqf!<*FB+~oYL!MO(Zi|+ zqGDi`LG5X*y4Su2pBGEvIx{70=)t5|u1eK?(%Dccb6hUAXUItGTw85$a=@ZZHgrkF z-+06ZZJqSgc;@i0&kuGEeW^TP0lB7r+7mO-c1_rE1Wnm~)R9I1wcF=4?V>sTTmI(n zIbq$^T|9)2+amXb*Lp&h#E)>z3&)>qptx;*9+Sd4P@Px5mlE)k5X>!*jFw44n zK{bXYvnD(c^)6%|pL@5r8nd>hh|Zn5xf(*MX}_sMh_}H;ShQRNqaB?aCQDkZW}>sC zN*_8*i|QWbRATR5e_YjGd(*V_(aXxs41wbJcfUWgYtr}z8}HiSncR0%=-u+JuhNwl zkLqRmuPz<_GV*psZ`HnCkl?GVV<}Ow+V=4Xu0cu5Sn~SH-5K9P^rQ`XzEfW>QM;AxP5nx;jb?Sw@%s672K#@)5^H$ z`hMU2Qs>|%@2R_!jDj66gIahZaHz-$c-hXkIO+3a<3k+CFxj)|dNH2CW%nL6AwI)7^kl^xNx)*RVHfmm(@WyCT-xr4To zlY%s;A;g-3SnKOp>wva2#99YRtiAmLLP0x31`_%oI+?$8GXJWR`A?nfA3E8;bh1Eq z&|L2zXzLq54ubgJeon5S?eFFe0rkK1Q|>RF9MJiPh6I3iXb2<#=>G1v(?J_+5|3B| z-oNPO|I*98}N=!srPOQOlD{CE+-o^@I{U7VA_?KQ0@bpX%>VdWin^Oo_?tUVGv_3L&I5`g$T%JIOF7H-EonTxDZ*nXj~*tR)ttf z;}oQ$qj7T5zd<>$m?$BGGDJ{b@i!n*Q9HOE^oIG&gzY0#?gV;A>4~Thi&I+WMN;qX{@;tG_ibOH-KXJ2! zfbRm}N-sY4P|9~vvB?6&njl!v4>0h0x6^ZPtFc~z-1`4V3H->VP=K^&5sNOFTaiDqtrOAZD?8HfStR7XN7E)4ZqLGRXT*rew+{E+KXJP$pxgGjEq zXkt)B@7qkd*}WSDJ|xdiWGsO`TzSm5d)*U`KRGb_-Nyl%B1y$C*BBQrLsxediQ6t{ zV5Wk?Wcgk(fKSvY?i=kuBh4qmnMu*!(x>W0GSiB~ z5+5sY0*!ltxd71cn2GGzLsCSkdnL2f-WWZZ<%b?EqCVr+Y|NWa*ME^F?i{Q7V{I;K z3^Y4|_F6*Cla>_!N#0J4Nky}ejwkoHiyFt zE*F;pyZvtSf4=X!*}oQZ)Mw)R4pplVy7p96B`s$%!-}*YGwZ~AnzZHlLH=9kG3r5r zPs%z3_7vs7`%gTBm#z$~e}6j8$)X#U57x zJE@YF0wN6@`hEpOy8cNLwi*wc7YWVLe5W>~rdX?a=qaZBp3aCBPEZ%I_@dQD8iJ%! z!!mz5yCBb)@)}9+rI*D{B@R=1e2HXj7Pc}AMB=HI+<8cmSTcBw-6vBmTe4wNGd~U^ zjMquOt8iP_qAa~4gx2cl=&|n*s@61?qEo*r89UP`DWm&e0nRcP+NT0!9P=$RP2MZ-s;XS{*;~0|iZ48-<;;ibw|PE;Yx}-NS|GoN>y$ zw^o-xU}Wu8T@ZK$ho8ET6)ia^G<1m*T`TcxB<$LuzJ~j#5?8KawXR~c-!x^Zp=t!s zq{k(S0nO`5eDpalee40DmI&^=IEf#X2Ov*+jZ;y%KX{sZY_2n&NTR&<=FTag@oj9Z z0h(4l!g7_b1mAm6{3+h!jnaKmLL0WfjDDetkK_2C7L_nMFj8&_D3}6`*Q+?vSixx% zcrG8U+AkqmWyH1tw4Q$V9FNMKyP@v??bG$c+4 zB_(|`;%#c_tx5<=Hd#1SwnKvvu1`s+)5iof*Tq@i0nNz%IcCd0}6 z6&gk5E>5s`hD3j1rbHc3{|Gee{QMF?BRGl=5nHc$XeiY5QDT!{!m93(&w$4Z50vJn zWTT~)47&X?<*}A>(#4Q##vCm`(=dX+z}P7^SR*7hEcMk`!bgzLTit1P3uQ+sE$XIj zkKW;={PoCb3FPS>4n6=hA}jcFk-JR?>V@7e$+Qhiz=j_*4qk0gsBS)#b(KqHO z{S%JF1I%mW$^+J6S7{t1f5=B7&%tz)0q2BSsM@8u(1%t@_M>VWReZ6ibqC6}VW!SEI zvpj^ZRKz@7tp4(vIw=fIu=dk*Y5u;;*@1A7kq?{?tIDU(`L(;qLEvo~oQI=(S-LVSI) z3OX4tMmRC+n5SPTG>GNU4(q(Pnm{N{oU_Or7q?DMIH5NfA7(9fUkqvEct?|eCB;8u zif+mc8WwrzZ93v{R+T-gcIQw1BMN3SnZ8G7Oe)~>QN`~l%`DAsr8}w>Wn9>iNDVQ(&hR3+^<#0$uHCVXbf%B@-OoaTr>$drbWc}CUQG3s`quk##&PLM zVzw%>bM*(dz$Q6kyQANf0Ixr0J$9(z>q+iG(3BBNmKmQ(iM7H z|F);SSld3ymdKZ^GEFt_Oze{G#67BMj9gVu?Y0_nacwhvBg?HxQ8t+How?V2`x@7F zl0o{WN85DZbnDmAV;>)j%{m-3t($Tm=oz(A5d6-dxU~A|sO?~PQfT1g8$Pw)(&7h< zO>S)>rDD_Df;obY2Zbdo#_ZcV7R#9=hc1yIT-eZy!=PTD|Wqy7Mu)Un_Xn@#pC8^ zkBH7bbvm&#wt{fa6n(={MXr7@RLDs_L12nnK9ua zhFD%W=56`+5K53zIG4^ZRKz@7tp4(vIw=fIu=dk*Y5u;;*@ z1A7kaIk4xz|4s+GtQhM$oLo)CJ|2?RFM+N7v@y39^=Ui_KdoqA9iz{5RJ!OT^RqRY zZieH733X>YN0yKIYw;5tZEa zTn9H5zNoBgysZN@9uO`p5b9=0IDcqUyPOd5MZ`RA)we;`EA^W-#n_vXVWso2O1Tvd z(q&SEy!J;0FzeU=N$FP`qw}|(_%BGibk_5Jo{69}SEJvOJRx)L5o=@Rag%OGq1dPhpC`@@QvLTV2XD}_ z-JuzG)rZKR4zdw^x_>v>VMKmNa-8-P)wRs(0B5t^gS+?7bo4T~w%+)$WvSNv&QVvu z`}4-<%u?PF<#VX(o~`fq?-sY}D|$YVQTS|e>8i%ih^hGb;QA|L+baz*U1zEzZHuE4 z>#ZJ#wzr;WuHV++9GQ&`muS77XRhtaVZ%Blm91?4s-!^4sb1>v=?BcIKjI!=^|-r% zdS@M(+G~_>?j-N!P?JIB!)3RdRRx8_tMn67UFdCx;r;^q%B1uI<#@-e?g`lC*d+$h z$c-B>xtzUu-@W(xT;n9o#-ThKr;O|M=SH0?pLw`FkSP?lZpfosw#s;bY<{*9?ki~1 zwDB546*Osa%C8JQ&e+#Cihr?sitFx5o&|6H;Abeyv;SBB^g4UrO_t^(vFP}D)AaAr zL-Xl*SJ;~eq)Qh5Uba{7Iq>fefWO?MT2G1j*Yp_C5^LN?JO95K{tlhrO22LkK`wsl z3#7Yt4&q?sl8a@Eq41mRqV2~+l^l*jvX1J#phjdvVQtJj$HbovyIJaPK-tP+d~JzX z^bP}j2tBk6u4S=kV|qa$n!ua^)yH;U)(D)T+&Y#u3Oy@>h++@E`Qa$N(mqNp7jqo= zNxKruNgdFK5MDm}7`31HW*?Knn(NTWII0Cj-s1vK>7qoDNk!4Y%yai)J-<%=0zXB! z`D9PJMM6%rNSFCEo9KaWCn8_FLT&D#VAf}Kckpyq>XCONcw?ELT!iuEEDi$ACHk^$ zkf-UkNb&7H8PVdKs}_b2%b8JEpvB?Tgv%c)hv9Ut!!$<^u)aFp*na;fv@MACumt-X z-AFnnaT>c3)=;kTXJ;j+KD;;rRk5LaZi$c-Si%GzMmiL+$6(7MU@KXaEu`MH*|333 zCeaf4M~faydM9(Myw-=>57Q4@UphGa1?@dd`Oc0z2CV(^+63v!luupw6K_1iU9BjD zTD#|=r&&(hzc7t^|%OD`g^7095HIJ1KwJ=l z`XEw_vE-H{ZmITFt8(%MHR`nytY!fV2BoVLU%C*dTT)LS+L*Kbnkx4jb5l6(I-|-Z z58$=AQ5^pc>k2u;o`x9TgZ zw7aZ?hdt?x4a}6o#_JV;%lWJNBcKY(5dxZO%LQ$9=Ow?$GmSQRrU#-P#IhaWIQ@vV z<22Ux$JQ`7Gg%SoCcnZ?BDX>hQAdPC=RiYX4*02n)=Rk!p@oE;gO?%(Oc8;}=1m(Og248^!*! z38K6Vd=J>AxfpdNAtL;lD7Y$~CqI&gDM^Yld&@NwPjdvFS{H zM4gQJe0*lOd+AZsU0s10v4KL&;~`21GtSpQlMr|ncm|ohB@lL@J7hs$B>OetYM|7w z>oE+^`euqy$G-~ZcpA7e`ju1O>b_69e5&)(e$q43a+e6Z+FrDneo^5Fp^i(^$^^;MS4)_jHOu0x0eav)+P|&^=f&LM^umSpy~cY@3W$}ABh}am+rVFV5Cz(f5?IAD?J|Ja*4clP;fHI*lI*6OXZ55Yo|*Fn=b2HVqkYQKc_O4cbd; zCuY7!=LS-iVJS$@0+c$l3C1u)j|z|9O&{dM2%WzuC45FA{_?x}jLJqBDyKmDg7VZ2 zG;-S0MbQKwOx{_^mjW7{kn z3djgY`5#=74zR5{@8e*!+OyWfJj#;bX=i>@xulE;!TvJfG;Ut23N~gFo zeyo#4@jX&%%2tKTo(|Sc%nh`dIYv@$Efty19FF&b#}yF=m00KYyC91%{*_nxf09?_ z-}9>ePx7k$dtUYbNnVYA&#U=A$*V=qo3xy)`~9Q|L|^Oe++};n83t`9l;>51jvw^o zJmK)Ex{*+YeW+tQEIRey{h2B~b@WdawsHo!=$;T%j^=MQLANw&R0@C0VmRH(yd-n_ z5OY;(XMozN6xPR1da%$$6a6-st8D8Ru9kk8hXhdRIh_>u{O)_!2SST;MRl>E5^F`eFU0T5dh{t4X$#TrHh|-J{2Pp?2HuVpXx~!6KU7W%D5uFTV-M5JdB> zLDkt+5w-KJb&yvd%LuGDS?#7@b;%;VYxWI0%GtP$7-xi2USJIF74Jq;-k1u;bO8%NX-At z1Q3}C)(y`3oB2m}0Fjw=fCN4!{lmHgh|HuX&OzCU1q4C~9EQYLJ(9RCx_yVIp0_$? zVU1yO6RK5O5Vds9PJL}~)~2E+D&z6`ya$&Z^-AkEoU@E$+5H0RU>EqHXU=&~HQPYG zPf9MK*vM-cE9{;7MBZM#MVVc~%zbwGX0QJp`s_=i?Q+Y-A4Pv$*7dx@YW> z74-{dj6+P$KAQTbqbI*f81nol0nZC-WBS)}goao0Q3Iyc_2yus0PioHlURTk$w$&0 z*s{QuK)M$jSW&dW%Ae zUU2dVCufIxQ^)MrCRh0R0*HXh*^4Mf2-Fp_g6OfsUfkrgy7c^>Q1vYcU)*73s%zY^ zBO~*d9|a2t&m=lrIL(98Y8=Pf8gug}v$GYzFqHsAm8`S@gK z2lR9y?yvHTXxdUN-y30F7O!!vw9At zdm!-_cJmmVk^b!z$kd`S)C)3M-jt9Z+j=XRLfPS=*#I4(K|gEe?Z32)PSK`9AU8=D zVjq`!G7Y#v`gqy?tmPJ3iUHkyN>Gp8g^^e*H(B`Min$f)q_9Jg0#B}u_hrp`^*>yg z8~Cc;_8D^MO08e{$3WVa8FcL6t4^h*+>)A$-nZ6$7D~H$`mal0ciwuwJ$d*J?cJ+a z8!hR>D86vbio|TXmtXzpAg(-7k$sL8cVNCRfBrl7<>?C?bpBI&RCVcw!a$Q82Mgw8i3J$!5G2U*cTQ3V00d@)kz^8SCoV@^K<0yP z06qvt;sc1xCzCh;C{HZNe31R`zL59;BJ;^4(l8@`QX(QqE@JWbah}8n5SdRVk;b7S zaUnTA7*T&8?MZw9k@;j27)TW0BNX6MLU6Hrr>asZL#WD*~-4F`9G zB&P%J{p}@*4w&9k) z`G3R*5IH`XBn|jr=kgDmE`Z4K$s`%TxB1QgBR+u0@yR5xe}LQm=Ks-u0FmR9Nnrl~ zxBt!mBR+u0@yR5xe}IFV-Tp)W0Yr{ZCV~9}+~GI>KjV`i6cHAOC=$yeVk+?E3`8J7 zU`8CGL@b{Wx8i?;{<{hWa?ukfVI(=LGI1V$gjoDThXF+HFqxzR_>RB%e{>i?|2;KH zMeZ>8>@x7L9sXw(3_#=#le2`h>|!7 zBgrJNA0&MNAjkh>1zm_Z=+A$7M*u`cg1|dc^RFxTzgq`~2o(iF1-*5pe=E7=Xxp zGD)A~tdDSu3q3f#t4CWgQ8UbEc&nL}IaA1f$nwLHD^HdU-{ z_n-OYdt37R(|?(KRX`8`W2<=<-6Wre9_e#u~`wVgIwnI^3TELo*vX=`?@J8cy=j$ zE6&w3(?kLv6cAGk7d!c-Wad_K<*j4=;m$#$O55x|s8%>%U%%q@{&KX5xj&r|S23^R z`m>T#(pMIqlqoa~evY;c!;G6sWh;G%gYGw?_)761bIkwdwQRnSx^L)*bB6O_?7p~Q zza-4LvVPXD%&KkAtlnUQZ##bQd=Ot{su{kMcYSE^S84_o?apupjs3j8i%!K=&5(*D zQ6*+dNDsr}+km``b^RoR2LZo)$Le@{8Kk^}{BU+kP-ZEaa}|j)N!9dcdN8XlFH%_h z<5PcO!lSIY^0LBaS{_CqAW^v^-6!#Zr&E#)noGiFkgu=P3-` z<*!5uFAM%EJL7dA;1g31$2Xm@+=uh#%BNO(*K1Oert6g-ejH*Sxpp|aAb;BAqt@s6 ze(tgBoOz|q)=C*YmtTL)NFT|$bM|ymG4+q{gNC{M?bffj1r+F?$o9@~`X+3$#Hva) zKcCjBJBEvg-FZa+)G_Fc-Bjms!hmC8i63a`{Ol~Ao|Q!GJBRs>X`F<;ul!i@}n$?6(c5Ld41$535#MnmzSiPz-XQwMmVl zyDIrGgjvt^_SgZiB~Dr;nFmOl6*iBjO zarW|gy#)(9+=%buCu5!Y;CD<1e5UKFsq{=^f}+yAEsS?&3u25=17N1Q0QNZUT~be>TDvGm;Jvki`43tVRv)5m(BA7E}H zo{A#kV3feY9vi&C*kUKm0SN46DZYV~BEHC@2Vy4(fq-Kg7-MisFb;_?3*v+WGZ1j} z03#6!MS<_t(5zKWl>@J+h?TAj)BAPZSThIeZ*o)MzW zg~xuEV4|qvK?>gULCwe_=%h>*&}Y?Q$9sKm0!`w+$ESdXz7W6BdoFvtO=#&R<>h@6 z4<=KY_n#{|fN}_;S{Bf;X2gxb_IHRW0nG)M(_mi;`Fx+S6($(9)hAl6ggoOSjm|&G ztE&pHK%EXp-8*j_z?hp2E9v1QopvFdbpW5PAQg0kb*prB_Q#?{7pd`QrN!b(i3viZg!BSS3A zgn^L?Xn38sK?SK+B8aZD@@=z=-lSs0I!j+l7rSu1Rel2%b(bln?qx6&=N;Il`8q8i zvrO231e!DrK_7E&g#ID1P+Dfj2^ryVbkZQV)*Dp&B1=fi+vn&81K8lSpI%K3K%IZCM6Nj7=jSMz8p1o2*)4@svJn>pJ{fkr6> z@7qUl=Zw9O76Z14Q=&@tzQBtM{9!1{1$NE+KopuE4GY|;mIr-(h~BdF@BaH^-YAEM>B_#)fw)!AB);>$;EDsi4U{Hkv#y@Z^w zyw&F(?+0-Nvh`koIHI)bk4&s?X(%L)KKlZ}LaACB1X44vRxxx|5?JY0pK@vm!D@o$ zg+bpdazA_r8kX~t`{(5Hq?AsrmuRcJ(39YSZ1~)-pGtFrZ7o9X2;r1ZLGDtk3JX5T zLi;NO93q~E(0It#66YvK{oxSI+}LkXWTL3aU zB7(~0o}-;*$D__OUY}1%)oX?jFKE5hb{0y_TS>Ui&dAKrN9{$3g)9>WOb!<#Z!U{r z-}+{vuV{*2T4k<}eawQnNTI;qb`>fDmB%JtMC?Mu6X^Zd>3SbYtS$w;k+=<8X~bWv zI6p~u)O+T< z(_*QU?BUlu;c!PwiBDrl16yIJ8_ZEl0iOL%vIg~H6zW+^XY_t~Yr+IEK)@#-sd^XFTd<$3x^BY0>QacYy$W|tU(*?uALlnvXmdDMF18azGT(;B7y9&zFa z-O_>T4Xw|Jr;+&4W`QpBsXp9t5g`=o)yFsYi07>5y|DN95fko&^rN(cn4bv(XVb6} zYj)hHAn>-UpVmg#y|~uxPXJi3B`+>6SPQ^}P%=ThHM5H8tGp2%^;ye|<6g0eUSK=gh15i(|r< zFZ&Lz_XP{gdKwWkPKbNc6o9#uEYOR?-i#l&efJRl4q)OgweF9#-svz1Z5DO9_NZg!+CJdAGE32<<&-5h8fPF5X-SBeyJI z`xNV=F5H`F2*0!1M1{Ke5xt6IP`mKN@3;!$D?OfaW%)b$6Dv;KZ{IwJ11;b1IL^`9 z%~&@W!Cp?-d91~T=~xtSEW}Pfd-}M!7hb?Lq=br?!F)f+P#Z?@I8u*TUB-J)aQ{TF zMBut}+a@{G&hfo+z{$v`m>P2-t{)*p_d4Zax=sklbYhwNIx6H<-~`T^R@7-%rZg#x zL7)U`$PjUI29L7i3`d_~$5Ew!zrtA^#%JToXVHA4j}V1$IZQApdUG73XCq)?ie)q{ z@9)-vbBCy#qP84Zz7^Byebe<8(m@=!gpV!E(MEr`E^Wv=xWa*R$LU)2OW{Y|WadpZ zhb3M=g^4t4jVSig@O>{-zy72sNF%ag%yP9F~U8#lP;#OMWDSC zE8InYbRGfUd|l&$3aDmtlcI}=`LKZh|{e9fYD7B zFcHT#naK~Cq{81V9ce>-&tYHgrd#+r8hm#Sk-*43DV%+td3%lLGmp_Gq5K){?n|+| z1#mubgN!#>5nhNQUOd(IdI_45jo&XopPMztRJ!7cX&~QbB8;=2ZCg}Nh5JaN!fVr= zfuqyPOXD(^Ca6B@8JEDO;?EnH9&Y37=eAt8$)`N^!pw`F_y#f9*@3$U9N zTkB#)_1x4hij}2V88&BCTv}w@-b|g)=h_E5cWjo)%(942JKBot=&3&I2a@HhHWP7% zg0^1E^3UzG4=3DBI)RweCM?p+%wq660@`I*qy&7zi~z45%X&XH!|-jGTDM{oG1`vr zkZ2u3_r8)G72qrD6f!t{0r6yo0R3^l2lI?kpiCZH-0@Yx;D)NAiw}*Ml-#d9AB$)X17a^`JHDtA7QpELQ{@cr4v6yDVp#^|0t1EZ3N@#i;L9A;5187~m% z8=NmY+@utb;*3)ygVyIBF}k~{R8=3K`DE_W%^xIjE(Blo{)6KrC45{cOuQ>hs}cdB zSjm8fBF#z~zo^*N$K$J2PrZLw;Bk3Mx6w+0e(@Qr+;QshxzrGCC7E{4C$>R?5w#2> zO&T-Cg@^7}Nj>4b;M@9je;cY%AiB#w-oH$?pC45vsjBzP&r|zb;F?G<3f>_1jQH?&lvn8^aH5 zFDVneb3f31mEn(W?a=z_sdu2TEzwsL}L$|&X&F2wd{HG^PR9A;lq%tctn+JrbWM`Ny*>c-e^S;_V7Nxq&`N2t+dCUBmXo3!G34D z8b+Pz!-QI|&hq9sAD|pGa&d0z{do~TpQq(Ds+hciw?L2dPR>2;*An!+|0SV2XKVVL z&!N@6J53&KKSHc*mSX(6dZtp;s_c&CU#@NX5zJeTsgaUNW=6il)lmKz+Zj-1H#0ic zp{A4Rl$+>0_VK4km{?t)g$}dJ>x1_R+--^$$(WA~)QZ}L@{83HwO6N z!$sMZ4^%bI)9TIc}UB z|0u^ zXYNz$FHJ?FEX`*EyYamjQA;P%OV9?TJmu>f4||=^xu>*w);RyWe7a1f&FG<16}By-*7~R3pdNmws8I zWGqFfcF(+^zpWh&qiwt3NY|_L4qkutUTyyOo36R}RT@>=ZgI_%aolzI{U?J*99{u-w-+%{{cM+P#`^mWNuIQz9X`3xQ?XBJ2o6N8$UblfjG```pjG z2zS2LwUZ!~7gZ`e9k-~ZHJJ9@;+=e(T6p6ncRLY*pnSaH4@ryC2WM-)GNocJNYw<` zI>>jM+CN5ym|@yvd_6~w+e}O@oQ4Yrf8{M}L_QH_Z1ARnja)>Yiyy##dZdW!&_H#S zH@xz6UYJZOozODii<{j>3l*GXavd0xi}8%Gx;Z4L`0$0Qs`K@EP-hpj$CY5O0fx#e=3l1_GdsDY252x2KwQ9@x<6=atyL z)aLI)9a$%I(Y<)@yD%K3VT_Ka+vyh9mZVYf%me zy-Zog(xuaK6@n`)y~~TUVft!zw~v|o`_qLPIPV_BWn?5zzja!E68;^d@vUUPnP^VO zuNNNnr~vbu%Gz0OX~^^ZY;}uIKfSz4-$-$lWyn(L*=5eOc%!amuQCPh5*w4BM`?~h zO(xV>Ha;6n%}Xop1bNoenfNh=M@e>wPcH>ixT(!k(+UZ*Np5_>|!3lTzqWk`V>?asUtgCKuo$3jn zrhk~ss`u-gt}jP}?)=DZV%>Z$&4B9TYb-USs3x6RWnWTWNvZq;?I`@tTm=&JC= z(TGi{%K)`KH^^2{!;vx0>c`6x?@blm)moNa^6t~EE#t+pshJ^PA{GhF* zhW6;XwL>Bcwl%ZxcCODq1b@l44JKWFmn0fH<(}AhjCxJwbN(7{Pfcx3VjrY1CO7Xt zFRcQ9=-Yc_)!rkk{!e&hl@{9;PDhnkOwQnW!G}ul(uwQ&&{f3NOm6L!dk*|J2f*o= zXTip>0qtI586SB7oM0ur1`duD^ZRd|fHc}|1<~c#Lk^x2zK^Z;p*V5(Yq_nOWs8?1 zq|DFmBlW?1(1Ia0YpcXxuWg)d+n`5tV6j72Ezv!d@K3Ze?-_{E3^oo;Qe4Vrdj$=9 z_$7f>cTJ(%LaiVAf3^4J@l_5@jqTR5Tbe zr)Ur*g(Q&@X_P$55Q(HohA1*7zq8NP^X>6GKELnt{r>fP{hXJ3m%Z0|ueJ9&d+)Q) zKI`ri8_8f@qo4JB7n@ipHq>q$UXWLT!g@ggOa(-DY>B<>(=x=YN;H~dPS?WM4pr->auGFl8=S~J zTVaSRVAVUK^Q42Z>^?`Ch2L4y$$VVf;F&Dmmapgn!F%066aVo$Z9r3_GEMTvV_R)j zsn#JjtrNc99=g%V#J7tEEaB1qhR<~~Kc+HG*D&^rvoeO6b1D_x+)G-A#xkpt?SRu6 zL^q;<7DYKK!P%@XZaqu%2B?MLTC}*{V zJ?>TVPB|l+MDJold|?GQM)u8Ru6k7-AJ?W%CP==1Cl2^@Xq_)b+JZC9sm zQaLNu^3~3Z0JG@(EgE3-UQ+w#s;(}y$qZiYI((g5>0k9yRRGxBkb%w3FVg`I+Y#cnx8KJ{X}6*HyoMuY*mE(KdQx3L&t zxDNRl0n8m;iF(g!d7%l}j$$$5Aw|9}YI3A}?gBo?K)ju&C!2We19pBh&uM_EeSRAc zFfY@^y(*K8&26O5oTlD>F5jGHE!H(#mW^*7kjR${Yb0$c!=9L|H3yjF+QG{JlUGD- z>31QBEXZh^OCG6J$WdhA3cb3w7hhy8r893-Ov1BZdm6Ze0LJNS93#N!22tma60Z0? zmN_9L?e#~_RikuEMFSMP&-A|!hu zM3#A@Vs$ZdMplw(8{YcLn!*PS`UL3=Y=_ZzU4Zdj^nVX9MOKu(Uh*p5=8}7KTOH2}cdF{nF?10A@`S^xACb2*OavEyo9JMIT% zFU6NC;9sUGNUnL?MUdpes(C$C0GJekiB|w4evz_yUbHH~PtsCBF;PG+-rzR(Ko!~> zkL*&~5NpUrAVpz4>th)JhGIrd1sE$P%A6d>>$WG7SO#UPnVdayXPt_y%OwMEH7mS* zqzYr@m@{X+8qO?0gUYyW#LnZ-|HhLRm8(=ABqOff?CdD~vj?-;V)UXWdR5LSM=B!&w zb~XNu-L-$UtNCy2YW>x&_P?>K^H;mN|HiH!-EQ3mW|vEz5ePQ6h#!e0#PxFholFk5 z8SJ;9w7U*j?fo&-fi=e4KIXJPSh1DN9&BK$kS)w_;9=;>xyDND`#yqTsqUOqs}{TE zZR#6Ee?N|yi{9Q^d;7S)EgC6=-HBM&j(Q;Rjlx~NX#o+u!Dwfvu=f+Etj!1$;!oU1 zXQZtfA;u@t4RGm7k?-&m0rK9{0@nCKfO(x<2D-aushh`h>)d5Qgj3 zu{i7bb$?u8o-}T24bMKj>|+i#i4Y-M*`>f)8yk%W#4Usdy_1X!y=|#Y%CvG#vhw) zNRC{j91UFmxL+*oP==wn_1O?+(!Qz67hB+wzghPcTb~y9fkGb4^GCwoik#S~eYj2P z<~$+0T*#d?;>Pb>IB;2%5yrpWT2L*-*+ps#+(J70du#57)6{NOxYgmC?o7RAbIi)bXix_8`>FNqY1A{P+8FHU!7u45@-m_anu$Kc1Yoq zoV3kIO?F-$)Ct^~!<84Jw?=$EW{65DXDG(Y=IlshD-*)j4eM8fky|sP0EAW{){sP> zmlPCHbl$mW*RZ2X(-|wYvTAOpvn~|i@^pi~ap>Gdr+!-(SUYdwC|44?IZP2~MTUReW=Y$Xy^Lz#5m)q zrN+D!8%J(f;h zk9v+^+rYy7@WD=k_a-cxsc|r%pQk6!1JSqbnY;c*CE7cIQO?u=oaCe)pn9lpnW&=A#^d7UcH!wyX zBK&k9gs?pBV(&<5e#ABLp4ra&{DPREA#rT2#1C%ckmH_psSMAMy^P{!B>s4+ENO=f zuID1zmlr#WmO2qe1l8EYxB28IH+gTohUMXR(#hx{(t1rb28Y#gPyM51OPSMrNG z|5abPws+T+NFOo&_(&;%vBTR!2!?&wfDgOvLBXk}Ztegx!b*ALxNiUJ5y>fgL5iuI z{CvnAZ$95Ec;~G`)9(lENp%mgm;D&wnXBo6{U8DvF&Rj;39I(y_mVkKEUbS(fh}q0 zn_;coN_^gPkyxIiX{0md*a}=?BCu1NQv-+J#idh~uAO8@BV`DQqPKGto}l=|j{K;- zhd*?k!nQW-DA`F5dw+5W-YFsX%ei@AhqXeYrFiR^&;{85Yq7j9iqG9MQK9dnHsB|$ z#drR6{6W;;g0<-2as+m^c)WyXu7RQAV{c3r=3YymaHKkW$X`4?{a{m?w>&;-mqhBv z)L@d@Ijl5ET@+x9(N0i!CNy&mpi0>&AanR-%0(p&(F#&`n0wO&No{zcVySDXi9}M( z0c;1;I2?Ybyr}sWU@G{j3QBiHywNh@rP3;k3g-J{N{+?keZvo2lL-_4IZEy^#ZE>I zHUm4i`yRq~)S(#?CM~_6j6-Dun`LYJ6stT(#TViiRq>6F*6fPj-As^l!zxeY!!y@D z-cKpOPQVo9$(ZP3+?*t@f?PMRTsFsdlRf9ra(IjxjVG4OOu$NGWls=^zzzi|0)0a_ ze@v7TDa4W79LX%AJQXQtb1ME8yAQ<$FCe3keRhmYXeh#N2?&OF06~Xqcmhm@EX7gb z5q88|aDC1e|5@6VXS zwyQ=I!wlbudl{?YTGSh7%rqHju1j_`{*B$Wf3>UmZ|rLQ)vorxv8(e}ySo3zt{&a4 zlboZ}_!lzbLDl1+!`%pbggztYi!(#F8fw~I^-dxqNySzN2Tvex9wu=3H`d^A7-EeRb3V#L!lGo`xh4Uo>`mOt zX73N6pp&%`%e7|2`q?}Zc`$(>5|MBeXP{sxEx>%nVoz1XWxI-Gd8R&h?gEw%Pk^*R z7MJj7WZy}d%eA>x%!U2k$YWP9FLRC~?%Eoi=Uce+CF@fy!dXozTB!Thb06o9%Q$b< zbqFhE)*UuykRnKt9OLbAC>je_go(s~MqUaQV~TbXqu_Ioh!?X9Gh9Bs?+xz<(1c3# z6^Lva$GC?}cy6m(gp?gAJUVj?SCL>Aysz^P+1Z$QasyYAjXd+dI^ndK^|V>ne2>Lw z^Yll)>f;kEWK^_rjW#~VkXa(@s?AeK-RiOGfmIKzdSKN9s~%YOz^VsUJ+SJ5RS&Fs zVATVw9$59jss~m*uV&ABB@XslFtE)+7Ja`#E(TXaw(0{fYQI~1$(9at{3cm2=toK z<&@zxq!)fz^&j-wEYl0h`MX}Qs}j)bPM1@L(~w@U%iMn|?{>O8c(=yJ+%8qy1g#{Gx#f`^uLKhX6aT&5T7oCNe9pvx)4X-MxcKY|C0bI|!2g!tfm za4yL&QBX|iKp{bSFbMQ795ZeyAC|))S(u@;2m+l1eLj+??1l>?LC&R-&w0sJ`w z#o_^bE6IU}q)@pHmi&YSLI#_?Gm8{d|7cU%sRt=KnAB^DpUN>R*5Qr)o(*%>T_lOYQZ)eSSf?!TDY44}a>v zyQClHL;9g4e12EbKfBb9ATjs=?Hv5176cc7c8-DmSzP1@1A0LFT;d~twR0($Pi9Jm zxS-wtYNyzxe9%51T+UKHOu#q?au=X>0wN;-4zxpX9)FdSo{z&`gz`Z@f(Ue=u(}Wu zq%)w@KiPxDev<>`1@-s|Z4S?fMRQI;6v2Q#z5r2X1~eRc0_yc^y!ZxJ6ouu43Iy6Q zB(@0b)qb^#u4eOZ;DW5V!#F2O#=8UT~?L%kf~_so(8`@eJU=dHWqNwE`aO zg85H)aGrn1uUP@FumTi>8d-gyPQ`U>`2m*G8Ez-z34?^=fU zT>-y#1$_T9yx$6V@Ds#;^8es6{H_)7+RN}}OSti6_&qD&!JeGI+y8~1S%!~V0S`9E z{3kp#nE$2!99{vhw*nrw44=9JUVjBV`!am)3V4GR@I1@#7nb3Fjl(o3AJl(lX?%po zZ75w2<$`gN0#Psy1B${RcR}PY2e|%$aeui!%#yu{W#hUSBn|Wd`cL}6_zv{J1p0!n zAJFw=2GT=@t|Rn(6w(6z2bB#~6FUBDUJvGx0B;FXR5o0_-}oSV0lE$@l^0tDrGH%y z01xba4C%|JUpW3t@2~oOhwFpJ>OqD94wM(v2d2MWr@*`q%yWC73oMWWX;3aBn4-`J zAUd~5WL(nA2>b}-gdo}o>4PZ-$r!PezhsxZL`haqJ}Bo(^@C0);Qy_D*7W=p>o>Wq ze&G5F>Ic)`>Ide9zt<0>|2y>)fuunFVESAAmh6(3D9Hwr1NB>~2Yi~rd}itVCc*9D z*E|D@J`T4tXudJMtetFH(zCpsfO#hW9T!;zP{K3_#%80)O*{XpuuzU5?QabJ7$9sH(zGU4!m^NufCuN|NLuzuT9e)BXf z?2>8*|Gt2iWu^wTcP@n<){`_rJYh?_@`3CwDlw9NY*?&8=qAH}$@>r~=eS)eUB(V0 z8oc{_c>`xkOmo5wD_D-ac;0H$boq%VYI2{ayOD9E%Jbu9Z2_H|PqJSYVyXB^pXY-Sw1%LjGZo&6yItPc=Pi7qNcs{9UrHr2UVLmnE_objd;eea#k-^>b9*IjAWru+IgN1HK~$sz0T%9gHo69r8z z3>R_J9_LPRT)h>0rZX(OB_^Gq9mG|eZuz8IVotm?zOv@zryC-U`IH5hN)EBTIogj+ zc4cdxG1Yus;aM>dI)Bywy%4h2}uSY!jEj z)So%Q9gcl{%`%v@JD;cMj-S%pw(z_9Hg=lFixUZt^+a1}=L;J!(Cg8TIfpJj7m}Y$ z-9-&0e_{G|uXNsf-?rARc6+&1Zn!1l9PUazy(5M-_6j^@KHyI*@Jf(n$_Oz+9{l5+ z^--~C|DpTEXN!l^Vr_U*Jl9uyoR$1uu0ySIIl`07@QtIg>th~4wBx0hZb!q8e6ef& zY*Hz%Li>#+Vz14VJM1kFHJ~{CQDr+9J!=2prE|TqMLGM~4Qye_VSS0pyYAuD$zv|Y zsfUvFb&3Qfhp3i}sE2*8W~Ogg7d^@xa5NLy>)y3*D7Vl0llP%7O^YZ>jO4T)i+cOu z+l>V^HcwkG#Slxbt<_1#Exe8rR}Jzs2<*#P5J$gealIa3@JQ6~w&SBUUJ`5nu-oey zf_T_}iS@{qN{0f~uyapMxJ~yp*<*dy4Q^!%e`Rh`Q76riQ^t6(qQe>Ixu*PZ@YaRY zyHc&i_eJ~lcAc?)UuGiPfyEOucdWY-8mrn>_TVHs^tvy4)g&(5%D=BrLDBl9r`|B%6hugf;vo8IEid1TCuEQ^k z$w>oAPd)EQ_u|f*Bi2>07+t;DBNv7Y#K><)+=$N=!Ka--2J(m>+T+46qI-07ob$~_ z%)=W9i7i*2;J>0!8)>s2ulVvjdB>|h+bpb?*N?at>(9k__$OLpusH7qx72RTsoWn0 zOi!u=1E;btDA8GeDdKOUMVADp4I{C#Bw*1cS%Hw$ zpOVY#js`5cBskd^>6Ik`i!Mn3LTmn%1kFMdW-d7muz)0vA58l(H1Gv|{@ym=_W{u* z!8$qyEUK&^30QPVxTz_uED2b2Nx0>Kg_0F?0v25oZirw>WCcmUqDz8xXN>a7l7K~* zgj+CJ=2$@|V9_Pv2CcfXBw*1c;n_P_x>!LcV9_Pvo}j+6Bw*1c;f?_s{R%qi{Q;a! zaIb>_7uFRd0gJ8^+*M&fn^-}T-duoAaK8k<*oZC(Iv2gO(?<`qHk3iv3HMT+KXv|1 z60qo!B0vZ%5UijRu;`MaKuB+8Nx-5@Qh<>D%94OZmlOj+1}jSf7F|*t`VVaazMu~; z8FX)?`BD;#kbwTfSb{w0gTR4N1r!26LA}s`1%OgGx{xGbfZNLzx{+14w#G3Ef+sY2bYgD|RpHI0-?AwpFFOfeuJLmJ* zuVKu?!?FN-#h|Ikb}09g#m@xkmfi%~r3!q;6`kyN+vIYtX-}T-&1m!HpSjyR71wwE zy5IcVneInvt%ldkXP%~XN*owBIN&@bllNUBw%^*rrt41eYu-94V8f;h8u46(p5sH@00UwpLoSs$)FK)Q%V78JVpXQHj zfAr64d0pW;1@qHxbGs?Qs>Ao2-~LB{V81=_wRSbx%I#2hjVM%JxXFTH0UY)0FEN?8 z=5g17n1!It9f22}ze1x73&a5p>CYEF7(xlqm+7qa5Jg@EmnG=9NBYdSn?K=L@_BY+ z1Ojvg&^|G@m)O8Vp!q}Zu7p0I6{C=1U>tyszqL*JI09PouNOnaF!P-MhGK+>$v_}7 z$0qh}J<|`l^Wjk^?uN+?BW5+5idfSo5#j4gPxJI`MApdNXlTjx7Iis_I4Kv{%Jd{3 z)iTofg8gkX%9pZh0@YKB9vN|ICmid=w0Cvpu|t-k>)*UUe!9?Tk5cJ)rf& zm_pevFtD@-8xF{^@ta^L-1Fe~7W8*xkAs4TJQj6k+-rooSjHqd-(1d%Wi6d$ zJ{reWaPAi8)nwGj9+oWm_W8`4tX^-K9oe|TcYbXi(C|DauoX4Amz6I+^qsgCF<1r{ zUepoAv&|l@;TRSKN@vgQxD8m^7A+Ux=08fSa|@X4Oh-8vvdwNX*}&69sN=V01X2n`-pOO{#qqDA1S&0!AX=I=XGbYua!ThSzF@)Yx1j|1&mW2U*6pnn#6Z? zqdQN3d&$NB3DdUw94l})+Y7Nz#XmABbyhy9oo?QYfAkWr_r9oSA!V`$*<=WEMHn!D z;vNeVtDhpOhDdB~5-e@EvQtame&Z11jz-c$^+jJ&^E56#I;WAh;vCwCucQ!EBiVfL z7ARVJ5ly7O5;@0Ya3$uk)_sD?r0%{RVqTd+QxFHY;kudnV~)GUco>=Z$dl*#7)Tut za6+yIuXx3^(b&e5%0N?@^w&h7i7kOPJ4-ozvMpG1Yl&8`PH~5B!960gl0k8?M699D zsqUI4n>i%o=>(4Kh>>*CWD$;W*Xw!S1u8mq>)a5~ly|pv7--_YLG$jKKSZ!%f45Ud z>&MpePrADAh%aOf^i+(U3>{f#`V_SN&$jt)b7wTp=R|%;F(=(q!1}U2>Hwp=iKRy; z(1O}URo~H)DDi>ewQW|bCWbMyhYMeg$t8toU{QzTynrmf)U|XV%cM-bT@!IYA~yNt zyUF9B&9&vZNPJ!_cN|Ye8W+cP9tp)+_~!7ar0AJ`-YlZn_Ea3J!~M8}Wt-bl@hq8q zr)^!)goUfvtiI+Up!nNusa~M?%qz-!k$G0hmy#Ak{NHcOIhio}xdn8;!JqRHFn7@_ zB|M45cHhzN1DKhKLvR~j-%3eH`lDxirDScN;Npbb_p0aa+V!J&{1>dytw7bcB=2Kb z!c8~$r~J*-CNM%EYTi?m?s>}A)yZ@P3qPeQ-0BeBq?V#Ti`QTg>Ar_lC&>*sQ9oxH}bJk}3Yl<=q7$YbQ3#qnQt`&+Qc02k%?O zT@skXB)3aj@KG0U%P*Qlx%W3oU%;PxC1GBoT1wg#i9LTaelNh_5)Y*TJL^TLNlDv# z$aON9y^@4Ph41+1scQA+czh;{6vN{Oxg>8DEWy+s_JKe38eAW&5jDETGo#K*=0&jd zPO3t~oBWA~llmrjjUO^?_lnfXHUn6F@j_GB2Yv#Tz)tIW2_X&#!>@9(6jNDWKgFH$ zX0wHxLsIeKXV!>KU5Owpkg!>_hp-RqZ`-{D7?EfShs%70q@<+5kle=Ga$=U%&&s&E z-SOu#Y5FcXrG$#zSYN*8Lf8kEhhQHVwNgZqDxbe3OIj4lFIvidH|cRdRiA~%+n!S} z=TO~B@Q%bX+?;{yb5lO_GqAJ%3?(Vrv!}97GA3BDTwac0&vSV-1${hTiA8Duy?r`_ z3~MZ5#vXn-um_b3_CfS|$~~d9vAVC4FXEMbsdBz=J}gXD%;oQOERV)(7^$==T~X(p z7{Eo+czy`n+>BPqtvd_4UN8U4GGNpHyW-h5*Jcl-tF1k&`ikG|qRNCZe_FaM9xJM* zL1f2ss^M{RY5Ud+WDw9ykZpkVppszQI_nkh@uij3eN}rAukK4V^L$e{yJT16-`HLI zSG$`3#;(?1?P~uUyE=chtNU;4>e1~kB&E&eJ6j+UbvW<4W+29U_1*e>78wF|p>7Qi zhF#rPT&;Qn?|FRol>x|U{&KA)^*Hlk?6nfo@Gxb^|!;!^|p+BM~K{H1<~dP=&2(rYBig(x8S(jD>rD<60Fkt4OrqI-WID zf%(yiS#t9?p|y6Wm@snO*ynf1K)OkptK^27{kr_1DLsGY1EbNK#8fXb}?GU!=iNzf(zf>Kbw%!7` zXlnFfjpj)&T^8-wgmn&~4grs|eM235+~zK9ax_LopJ`CH!c^aH4?=QS4JNf4DN6=C zEuD5Js_+jsrJ-;>=WJsss)l-RaVHR5?yPN^1xi#ezprkO95fAE%{aoh?Z%%#Fsw5+ zls!*T^9{4zaKL0EYPC9fk_39OowZOeTkuhYM{r-%ja|dE6N)Im?vhQ=t~WJ<#W?WYj$+^!}f0l||FN z!{hnmm|kd{_5tOBC$$fq zTJb%jkBZB3e9SY8WIK*#AwH@KG|h~n*aLT}?W)?Q!+c;nzr}UmfKu&gm(D#AQ%(&U z_t%gad2a0#$lOMeYA_YPsjL+B2k|?0&u&_Qz(G3$QR`%y#HpuJeHSgF)LhkMJ~${D zDA@<)=d+|?seG3wwzdZECm|CjOZq80b~B|;1WWRZJ(BtAuJ0g!O(1iNTs0|#*!6MG zk81wQKM!yw;5P2RRKB?)m{a;$rr)%K$}X%3)YyIxbOg%=Ah5?9qTs8`Q3kJzx)Q>xc=_H z)c{J!ORE8tsMP>UxW6FbN3e+h3J?WpaQ!!e>B<43A`t)o<_KStv)rW- za+?|sP3`|wx=5|DCH_=b+PUB}sg*fCsac8N8dELCKN5aFopRJMD9Wo4rg{A|GCnmbhYI+|33@$NLq=%PBS`+3EWCw%X92D11t3LEy%2`dP2Nv@0Ma{u{2jFz+0Fm4bV z8*NcIlyZMdQbs9}mSz;GFy3DG z{PqhR$`7~>W6IvfBp0^D*{AZC=sC4~ND@86SM8-8WF(Rov@L_Ryr2=cL$1d;_p8lB zC`M>HS*(MPi`ix}wlZ;cq6g#V#kglwFI$2$C8q7fr-vzQWw)7a+5;bPuT!xbj@6d9 ze`<5h1bK5`_KQSY`H9@(BWmGNBHJ#9xQ>}USZ5)7{V8p4aI^X6BA4>rrw5^x(1JU+ z#grMoM61d8zxDFpEbwEK?Yd0Wv2uAUfz#>XT`EsUaCs-ZghVm~v3tdMX(0mKq|YNg zrGnAL7Pq#VDfeC*XDa?SE{NC2^Q<%J`%=Zeh3D zS;s>*ZK9pMWH$?wwM8#v*bFTLuovFWK6P9C;(p=ayNJyXYZq?WOC&n)Z!p7lo&3V4 zFIs4)P_5PbUF_-5fYl5F-*TlJK#dkaL zh@zN7TQ_@ljIb#aEpK!dI$Kq39uiXB+D20x3)3WP(WHAX=$l{V+=9LCud}xx_9kZ~ zGE=cF@zdkUQ#xPVrO&7bpNd*=Xve0F^*-1ebh`yD&Un!K(7@-rZGk522zgwwW0Ljc nk@=DTKLRKl4qcaU`b-PI4^h!uLGWWRFkm}H;zriSC+YkjHZ^?^ diff --git a/work/_lib1_0.qdb b/work/_lib1_5.qdb similarity index 88% rename from work/_lib1_0.qdb rename to work/_lib1_5.qdb index fd25526f860c5ad1bec188e9d7f1b499e9022e6d..e885340025a0f9d1724d2cbdb55cf417d87a9eab 100644 GIT binary patch literal 32768 zcmeI4d2kd}9>>4kGr78Ff{P3ur$GA zy~Qn8SzVXyi)>`$b@cVwh z@0fo5>7n?e-%Cx)%%=HEnioW8HqMTgM-hc#;Ie2GK$!lX|Hq_YoY!!^Kd<@wHY^`O zK%9VFFHXq$-|yEgnt&#t31|YEfF_^`XabsmCZGvu0-As(pb2OKnt&#t31|YEfF_^` zXabsmCZGvu0-C@NBj5+jtU}K-{HaSD8s|4PENg9?-*WA|a~c>?o47ogh$b(;qB;>h z*Bb3Pt9gD?^UP?~*kocx`>(#-|0HnIvG$M8F!TX||xG$JMD zch{Y2{O+=&<7=yGF0Y*$9g~>aJKEIRv|!QFg$)auqRGURWNFwtfl1!$+45`or934+ zmLJGt@~}K8cgt<^4|1#go!l%RmygMH@|W^%d7E4~F` zHdfVIRmZ7Xr|NjB!bWClOj5O6rRqpk$50KnbqSzTU%KsJpsh+Z_fmC`s-vjVT$g1u zNwCdMPYASiYG@Wv$U|xVQFBQ#zKovO`zE+Nw8F~ z3}orcQpyr%aai(L!Yr9A0VV#8EX!CHvdm_g!7`PFXwubvf|QagS%$L=U@2qi$r59U zuykb!v1BM&sp<+<7pdB;YNM+4s?uYk>k&Sx^q8m;P^$Dis1jqU^n|DqcB=GfsPdij zrRk|qOOdLDsurl4uWGKUS*aS1Jc7BA-g)vX`Kf$Q9+KPTOZ3KnJ-zL}Ro)<5D{dC8VxE{TCWtG=K+#Kh;sO!y{^5P% z9iun)uX)dTPk8IRyS!E25^t6_)vNX@y?$PIugJ6Av+n2ahwfbGI(M}@&Kcm|7x~(K z-nrX-!+GA>7`Z+2Y2;{RpHu2Ix*d`F$e76Wk)iIU$XsV);3(ti8k(_tJTx6VTb#g#InWka+Q&OK}80{u% zEPrx37^t@VN$CKLp~044#d!~q2>YWc(DHV}I&6HqCG3ylybHNIjnf~8{LIwgfbk@X zjeaYgM-a69X*|C@h;f!bH5~#N>m)7zDvo;$j112qPaplTVK_Ka=d7~)`t;xojCU-5 zBBz7ERbhV&58h+uw3^l}AvH2!tVJ=NoJV7SmY$i32_e6GDg=zJC^7DVvklp)>xBIT zf5Z+1%8Zj$A^%DqwjFWfWDj>b!fH8hz?dKQn|SOY3TB@*VSgs)_T21)X7}eT|Ju}C zq8Y{%%Wq7F5GGrGLps=)Wcf4F!NNq#za|}WKp>{4LpJIx|LSzeLao~hYc|J+fi4v3 zCzQI@<3K?u^*HF(?m!%?7Q2taGECFB9ii0vsQn?taQHHW7IWM{1Tv!ZCC@QZzj)m8 z>-dx31nB-d@t|ku{-@U=uN|L{}D>&Rl zVTC=M!*UcSllCwUE!5N39?D@3;>lcl2!{s5>prswbC`zWx?T1l4t3P?gguZ$HTA5q z2XIJGXs|EkP(i~g?MpZeM66EO{Wt37I$bBI&Vi*{cQ4)r`>mvP8L zN$qvEx^>eCQy3e%n0kn6Cc0ix8M1rxxB!YPKCv%S0sld~Vu#&}!&ekG+NB&$QE0P! za`=em%&>cKIF49FrF|iXx2eaq)%VmyJ4%M1u}ipT4}}i9n8P;2hCge^IlO}6;rH4x z4lf`+e4!oX@HB;LTXNV$b1t$4hxHUJ+vBhf@nIj^E{FS3GHjdeaJZAgV|IkY%`|MK z)h&a*a^^}D539F|lwU!E23m#6FCyR7Dp0-|@u8nv`N}tC>jLE~X?)D;r2HVnhkR@0DqoJ+kaw-H^1Z12_f|;xV)E-O zTX`2HLzdeXdDC=YGz`wSb5w{Ja%;D%bFx#Tj0pYieLG9FcSEQuoM&gMPy}nUImgaW zp%B<=Y_Wo=U=+Zpnw=9MZy5QACvr?>a}g`aF_g_h2`qOD=DLxfJR?uj>Hp*M2%Y`k zDgQ_(|F_6ZbngE_c`u#%UnN`V%>NuYLr#(7c>qIl1;-4(Wii8*@`qK`9m?#pRM5gzR_oa8z`@p-; zJwZDJe(vt^Hn@*_Tii+B%kDSs-`#5WVRx;2o4e9GLOThzxqaL#+@jeS&uj1|do|t&Z?IQJI}04IvzPVb{|~9Js0nBSnt&#t31|YEfF_^`Xabsm zCZGvu0-As(pb2OKnt&#t31|YEfF_^`{J#>&3DT?N)ZUKvv;z*Y+Yjt#chEowJKDr? zfZa}DJG-sGMt1E6{+xEeVRp1P;w^Txqhfd30lV1k26nRB1?*t=Byfw{fh@y#f*aZc zcsuP(9Lc5y8?+^3j&~cf4PztHT|pe>uC=Hq*d90U0N!A?9oWXM131C%5b!s4?Ya0c z?ZWtL+J*2z+SzzN?JOKmyBxficG-A0?XvJr+GXNc+GXG`=`~}vx0yCN&_0P3+;Rs- zvv~+J*=)dKT6~bFJ_Fpt?p5Ho?Dhfs*zE=OvfE=~4^Ll%N;Ws4g3WRaXVZdVZ02An zn+6PFGYx~;)L{^tY7AtPzyLNCxRlL6T*9U=`m-rTKQ?icvvJUuO&-eFgwcmhCN5?Z zKyPJetHMQWzCtfHr%=k~BlKi*96i{)jSJbdqdObgj=*LcirKt^IGYy`WAikkY&Jo% zSr5Tx9XvMo!)0?P95y#2k`<)y+Ddd&x&lQ?7okvTGYXV8B424e@{}ggRcQ^nC>@E; zN-J@J(n08?v>drgdm*f}7$K!DY^4q?r4b{C71>I=AxmiyGL;r0LumnmO7js=nhR5D H7L5M_szj-` delta 921 zcmXAnO-NKx7>3_-kCWZGSB($^jr%%(IyzwL%s7t!11(wv)lQ*>nGJ4%icBsA!H(10rYcdwKg@cOr@}AH#JvoLWRjGQ0xUoa^ED*hM-GHHNS7horiJ zO;z3R4@%|K{!#qf@S?Vy0B+^@H?Kyb3YCezhj5!sDHQ`Myh2Es@9R9`s)k|T4xBLJ zy$jriXWn<=top6?Z5=m9+@AMaq+*Ucha0Y&EbmeXc?mmkBB&WIE_-o_MmXaa3Z9nG za4&@(oBL%pE43F;Udjv(DV;zB3nO7Osb@R5ZLZxMPjQ?xJjPG_%kSngzU2$9bCshU z<}J3fn?pRz%PjF67t0rLx>#9ZR;SS;&Y)MEMW46}{bC{}PN74bM4Px4b>aq4+>Ie| zP7Rig0dat+xC%AmPUOXT952Wr3}2i;N*qV4IOepJt&(MN^hyZcO0cYWo5FU29W*|N z;MD|Eg1rRi5_~bi3c)P|pFwaN!DkYDfZ#<0{{z8`34XL(DqTYGu{ovEvk3ltS}HwT zVF$r>;_D>XMsR_`=MW^c^jv}o!50y{h~SF}zH@G=G>_mH{`-cb&m%bU!f(A|A;E1M zfAh)b6CB(5`7geJ;OoAA!&NUN_-*2!Pw-_>SSo+~9oz1ITYD*;DiKTw-Zipw5crPG z59|Qkw0Wq+bm9j6E%)7g3-IC9Yu^fZ*BjQq2JrUV?&$^Wzi)))H!Ud*wh?}_*!jdF zfgj(pdGluQl?X!L13QM8e`MReTQGk2U@z-gwzSj>d5D2;^Xk`c1bzL=!CL{h-LrB% z;Dc|xi|yZYL*E+Un|n)ZAh%ZSUZHl$4#%-$^Dd5S%eK;vQ2&EB_p<&~Yqza}o_pWg zzX7ni=0T2g=`BCOe!aFTewluoM<3VLo%eB^_iwqI<1_mf&ns)|`k{aIE%y!pZn$TV z;~%cB>;r!NE!8&xQao=8IL~WKm0sUS%Ut_1p;TCC)8_bC#$1P z*SsBZ3=fswZu3oipJH}`r_Y=@Q!2H6>{|kpZ!7+F#h(=TsPaAfO~t>VQ28JIn&Mwo z_&tS>)B3uU>K*plOLHa-E@ubkOkzFfjaQWZ!wSv+7`%JrZ4_6U#Y;s^{cO&pLG&5w zWK93=na;_}3FCR7Lio;^%B0lIoG841^8={w$sL0`*pBHn==EbALVs6_`nPP|zLWL$ z4r0AdmIzWGV1MTZam4IhsrLG2Yj26#BRCs-SE;?>7VT}@xnn!x+DQ}0@e!Pjy_c%J zeXZKte)l%m8QQG&2+qbH`W*6is6~4Z?6`~L+WCOmBRCs-xW2;P@fPh78(k;nOvWIQ zSs&Ij>bpV>3oyoyeg=JBZec{u_)VXe>nV^kc`vuz$r+#7G3ez63gk?Wm)j7LGya&p z;Q~36_x5(FoY76chhA>9K+g1ex&0A2<2SorZlXZWCue+S$Do%xqH;#}a!(gN zK#pOZ98-UuF1xX~&7V`wAM;JTv}f%V_fBW6<@Jx%?j|pwzOsi=(pdz?q=3kT6c5EKe*@%d{~&v%o0zMIr@ z!=#?S*iIYCe_Z8{D5U)(&MlMM@85Pe;N}M&AUo;g13R|d2RKLsz`Kb6SR#lxV)QGv z+{bd8hXz^i^}XWXSJfVYhPVuWv&Lt5h0e`bXV{-2ZX5T|@2|RU?7AF&_R?!6czLO} z7kO$q4msy$^LV@ZXZ|26?5_>K)A>EpVmy97Me%UG_V<@+sFzKo7yFgX3hz~j`w z*)cv6W4m2c7^sim)_JwQ@PmRI)Ssj3Pi!76uA(^oe3?FzAJKWR{MbC({OnVIrqv(I zr`a`qhFd<2&+Oa$8{PP~t9?Iia^|Py&GKvdtZoci9L8sMES@7858aI8yz70dNxg41 znf0;F_v8M`NV@RFWt6U`ciFme*?an2Eac^41jBHAG{5a z*l$C>SWN@KO@4#Ni6NA)ty{%CBl0 zZ)cS73jJqCsV(^gF`PNmStWM54^&PrWr1>P5Z6B%C+z}JSH%BJ$YZ>n3>r@BKI3Dt zKu-0iRQA*-I@4zm{N9<)^nK43dEFOe@-$4Zy4@mq?K_#g#+`Pl9>d`m?9y~J*w;Rh z$+H;QH$O}sur0@bUDuhs@q780eO*UQ^3P*O%Bks#$!i^?&!P<&@?rjW(}V+`qJbyR zRH{0jj0@hYdXskgPe?!E=$8==JuhJe<^9;_p_wz7*xxgkq)D798 zYdl`%M4>36`L56a>WIpU=NSet-@wCqU=;lHAJUi3<@)Y?Cive~N)q^ico=U_k}FuC z+3y9y*W}M!;ZJ*J>5uBm{6T#1=Tfm#Wt{xEQuH^?PnYnewjLg#05I<|J}-ql=AokF zJ%l0tE{5~czaR*HLgmDsB?PI0`H@Vq$I3SUzkGTt1=2IV)p zk3$xCIUSp29Oj3`fj){jhQwZ#af;(*gy+REEPT9PPzPg#hjjvZ)X5R`t3nv~kms4| z#Pph9h!1{YpMdqpIQexo;dy@T627Qk@B@CGnx$W+*ZjhIhF^OK!Y{_jua`r8PW|qt zQHp;l`bW9cp1gu!9^WX9g0F)n>N{uVC5t0``;@Os`FbOK`;~98@-2z*jVWKB@=0TF zUWXIPw^sSEe)HlusC*lh@7f68A?4eye9Izyhm~))@?96L8a`DoM0_41ksA1ykrtA)zf z7vaNo0QI+6`Dziq4&|eVoox4}2;V~G+o*iB?C4c4>-~)s^MSrmA&B*)5X+rj<>X@e z+fMsRKS}Z7`TtyMOnCnP9)fuO4|q1u|5sBWc>e!_?%&;t z=l>ILy5wp+|6l#b2YwsR|1X-jr4P^l2jB6Lop}D=O#$Hfe>eF<5k{Z?>;0oCaM698 zsoK^}IEPG)^uPKRz#BH-djnwqje~CnT=C`=4>G=_^dOzDEN-+yTLd;NW4StoNQ(YJ8F)*zwus^71b z{zT6;X_WeA)BR;|YG>1)xBzt7&Poldp0u|+%n{(Y-<7O0)wE!wgC_~!z!*UI}Ho~!o8 z)E=&zGu1=h^M+RH4)+644|de)6Rb{N81~Yi$H_i>4=>1n;tKx z=l!Pl0hpXYFSn2s!e7&Ce1;qJa(bSR%9$Q7w>)Cc_+$143gk@Q+uNXWMmPN)db!~O zIn(Fm^jtk@bhG1eFE<*oXL1I;+AJ$I|`u_c6 zq!@gMR3DWuy_);Bjo0m!cG~m7R@N)dm#}kuwsw}Bot+X*B-Vxb9fS4fb0s>;$-K=b zo~{<{Y}q=<&o8$PZRY$~J`DQ2T%+^V*P?!kgYWy_O$Ips1o0dc*=(h6o4t)K+8f!j zmF)};Ub~F#5rn;9oQ`5-fAHGHZk(Tc(m367ZQx&`{7c+8-J4=PbPuzEf2oesy@@pI zW42x{K)F8IJfIFzT7P{6u`WKZdERp0_7TkE=KD5p1*Esj$@8hLKN`=c?0Jyx^P8Rr z(Zey0*Vb1n&x7{mJP%rciKP2)24l~I=$OxZ=jwS-A8Dg};r1cD%6uOZ(D0(?K}F9) za1J1S`=vkDpJU)0gZqQncN0Ca`=TcagFnFW{K%m4XU}IK75b_AGry;Ziu)(}T&T%D z?Bc#T^upel@^c#KK8!rrk^BFk8y}T5?aMlw{4o2b*Y1a69QSYRxdh{MKNNOoye4sV zBs$MY7mdR&0`w~La|q(g@S=P`Z@E3eZJCCbISeBRcfje4xHD9|vSSd%l44Tb^GBg->p4=RDUN zR)63Jp68+748mVR=~aKusroX1zyN>nK?3B5amvpj#g#w7xi8PZ!!$~AP5#Mzm($T% z`ltFb|Lpm~<6>)TWi9J6bRlW}8>moc)-#!|JzAoj1pLu-ym2a`~T^`{ZQ@%dsyCT9jp?vZj zd0x^T;X9~&8&%(x5k9P2#IarZu8Qy-R=(ZJ_tFU85#`&bd_569)GzFgDc{Q?e8-gU zpz`7KTT~}a>$ZpTkM+Z9sQ#5N!)@JK-$UJp?|T7%-ny0jLEB!OQ}gn>QSF-jG2#l> zZ3SZF&k^>&!q-Rm`9>O@>HQR@*VYHF&sZNLVz0_Ltq=6gy!qJf=0o|K<^$IkT#x*G zfIn|OI%pi%#g{W|UXK%M*X)lGUpOD=&zO&Coe$%)J_ZOng8-Udn-BCI%m?1jK=WB; zoaV#)QawbKK5?kPFT@YK6>3~mmuahhnO^e?^#Z@9)Gx*Zza+5wKKDq0U&u53>Y1fq zrq}#J-r(00>KEhW*EOQQsjnVWzxs%d`4}S@=27EF2h=a)Bb4hYmPgZTeqnzFzfP!M zj0b-CJWdz*g>{ViqMIq~cOPN!zsN7sYktiqIr#Mr^^0-xOP|Z6hy!*E+BzRqyG$lJ z?1JxNs&}mWIdoyeJYxSw`kVR~_DvX9dLp0WlzRCd$wM3TF}*!WnV+Ebx7d1q4oQ*T z%`8s)!d)C!M}qwb>=~|lZ2UHrlW<5*`IF@&7y85F*Iq(5~_|*^R{u{ye*K z4rtr^e6@=@eDX~Fytt4QhIW;&$u8DeUY@|8XIJ+3ZF^s!b`KK#USM~vv#Wefc4P5^ zKhG}e3-kO!`ipt?c1N9EmfH9{ zpI3ifL=X2VIQJ7@%l?5s&p+9}x9!C~InVB}v#We9+Xa7~UDPG=fqi$L-J>)f#;bfS z+Xa7~UDPG)VjrJpw}%oE+EuW${-A%y{JcW+HeFA;)txuGZ`6;@dfIlzaa^7v* zdzISt^Ld=c!@jP3O?G4R1^zs{a(-^xi}PJxy#9Kkd`)&^>k9mNcI7X| zvVJZxy&Hr-(+ho}UYv`eccbuC87IB64~y#^6aGx^0mke8E+QOyvA*ChNK4&kdZPI_hk z5RVJ%KGZAs>FRp%{%FM2rFt1By_eBA>JN2+I#WN1OU~uy_ePPUdiXi;rE;I6uD?p7 z&_67ERmMrbo%dsUC!AinUr^V(RP`QIy^IHX+lh`kz+Zg6#{50x^vZd^uJ>Bvhrfqa zFXMq;X&}vdk2t+@Ua#w2rh1R6UdBmp>>O|Y9&>u-yj|COo$5WVdKnM&#^zz#>6PFI$2dlk;-yj1!~M=JH$93Sd)CVNUx|DeF$Lbcb$up^av zYPM(lV`78d)b_`(5`4doGr)RAez1=+|Bn#<f(loJoq7R{!B;Y?~2I7 zF64(H zUS9Ua&GNfRs@`|#ejoXlXJ7WW&GMs8er`m*i}>K5_kSOahW4Kok-sb=zu(C}CnA4& zM1IW4zbGPqMMNHbG4%h6h+&B9C)V zo_*}^LjP}!$oE9#k2-n!GK^gRaSq9|f6U3>?Bs17Uqj=NxBJ`jd4+O%m`1VA-a-)T z7%=a=gY}HQ!GQj7zo7ns`{|w3H)Oob_W?-5!_t83`=eJfL-}ELBiN5!Pvd0wMVLqG zUkUhNAL9h6SK?JJF(368#S_%?F!h%kh5j(kZ^UQ)Cfny(-+doV1meVX1aUG9`qerb zhrU-s4f$_&fQ9=;ozLvKKnKxry;)0^p&!>7=vVklkcVH||9C%iC)v3~#@~awrq6l3 z7xj5DLDX3XLDb6vg2+34uIs&56Qq9nUhKoW2`(Z?=gRkD|GbzW_K$Sm^1V2Z+(2+K z!G3}`AFLwC{GSkv881&zJc!HoK^M)H_%Pp}rTB2Yq5H)t<8*)L zaffh|1f($IBxhG*1u7x^3ILLiM!j?>zMv`3(JKd>elk9TpSL~*Ki89=(vRBq-llq5o!3+9Zy&*MUKyXk z-<8CVdh_eQl{%pMeMtIW+ul{Gr&WKyO8i!bp}(lh&(7fQ>(tKK_&Y%UV!fhYu2DU$ z`ujEFhrc%w4E<$%27lM8owMbzvVND7zjB|WZSMxv(`r53qlYi^A52Ih< zy6F2Kj}zYtjdMWsX4eYR# ziN^U_(VNA|_zZCls()vbPued$g#7^Gyi4^^TIf~ZFQKm@PMp(`&jSRJPdVRqq)gB8 zZ`?_IzFrVn3;e4`QqVqUGs~hw`vX}h0@ZZij-KRo7 zgCCn(TV`zfb3-mr|n|Nk{N+$-Przh&hB#$S2kTVDtGrry%)E`0xgI(7g5;otwS zzY{m5zZ1uGL@Vl3s3$=E-v5t%i{1xM@2^U}E%0MsSKNLNK=~g1ri?%O4TZ}8=+_jN z?~7@B^pxWNrtn`C{)@ts3jbN*R}}t}!fAzHR`?}_|ETbU!Y?ZP2ZdiycwFJ%EBw5| zzf<@*g`ZXU8HInV@R-8CQTW#iKdtZyg@2{+FBSfU!lMd5rSQ)c{%?hkE41HN*zwYt ze_x@4vW4%DM%DnA<39u|KjhH-JXer@N0Q=~?{&sJ*!M>pl=m0bf9K(Hnji43ZR5|S z6n-D}{hJ@)?{(fWD8EN+dJTI0SEzpdzNbR{_3sZK+`)154(`DBHzSR$d{6b2YHweQ z@nrUvs6B$Sv3Hf)v)@mr%PhU(-;ZAZK5?~26FD1uvSo$1PVgCH@|CSz@ojts-9`@vSnu_h={mA$}_826x_)if2Z_qem{4}ks z&&v%*MFK561ZFGZX$Do&6IH$GznY`C~u5i3BA9d8D z{+uhlXY055)93s#zh(=~kF$m5&jy#Tv(-0S|2@C?vpq6D-Y+ljanB#aV{+!tsGA?- z_xfzyU=iK;3>NX5KV$08&`#OEWWQ(hhTHgev)2!l`tds-Yu2t-tQaX_pb1{{18$bqE~(2b}M1HP9D;D>c4X!zYG43ZTG*8 z_fG_Iz2CBVGw<6<1nv6YM)IAhtn-Bmv)>`Ve;fZE$>s+hAUo;g13R|d2ROL>e%?>q zO$63Y5c*>DE4JLna+`++`S(YzzfOO*TvH(n zORt&W<)vQwZR1(Qv0UOHcjz*P)9 zpVb56q|bF{bu#SY+0kM==WhP5&-}&w|6YN=_c?!$wCHcN{%OLw{ufIgC>@Fmr23!T z{P!--;=%mSuSk8w=6|b;XOZ$3)zdkf|K7&=zqi2OcQ}7{x9D&2{5Q@!<$>45Z2M2| zlE(S}Uj^~(a`BvKF`k_HUxzxnz-H|9-L-KU#yNk;NscQVm#+O z56c?!@cRXRf5`d$c#D3=^I+HAd^qcU|FXtBJol2B`~J9#XR-31Mc?Oh*XsVW>_f}y z`%kQgtp$Gnj`Mr8MZa_MaBlklb@lxw`p};i`2SJo|A`j;&#C{XHt*Nf_nnyc%bzuK zz5Jf@`v&DNs+XL3*FKbA_jV4R^||Z1#(n266vT7T#WU7oJm)+Q%Nz6X`2xQmb$*|2 z(eGFuvi={r4>arZ*Yd_Z+(hq}!Ts{s_26SJo;#JlsIGGI!26*ZH6mQsZlev+tj=NA zH||4!t-$X;a(+M3qTe}rus-zE_Cwb;QwLge+Ru$0C{@)=e@oU#rb!Q`Vp&_ zPdLAaTl70;-e+Abc^wr-li06|op2u5^=UmtSlEsaAxM<$Y>-JO5?{4KU zs-IcsLHp8d`_Pibjd|Ew5YJH;&uEMB$kK8_Z8}}nRmaU%6d2&f_eP4?G#3u^; z{8{6%$i?&rO}4~5@9Rp9q$o!{?o z(eIpj*FNOVWA1u9>-u;1K|fy*&*xk`r(2BYoadq1xSzhZbLRT_lJk45@)y-lEDv@r zj=|ZU%a#Q97jZrKKtViTcJVyYVmvu{ko!Z_h|cEz(30T39Q^)Tf!|+seqX$xwf#g+ z9;^>NrTx$ncVF&x>Q8K6@ipiFaEtz*`+2WlhcWLTDe(K-&hNu5`kgcHx%Y?sI+%6+ zFKxUIKa;+=Z>ICWX%|no@)xb=bDoD~_45Gou&KcBIpkpY{QaFR`W?%|xjvUISyn$E zAf8Va#6v%5(-6<`7URju!v^%9HD}w0mNl-27e9CA`nkyYyL;29y-#ZQO)W3> zoMyK7OP4HbTo3Omi05J#&j(wK=bY!^y86Bp_4Anmzvnr>ztN)K(LD4<_D5%VPFr$a zeV>YWUj4k8>uSD>XM^$=)zvxA!}7*F{7Qk}FLZt%Y|-yn9{lyd%*lko&^hq|7`QHni|vD+%H_S zy5#!$z8mXdvLK$zT|7ryj3>4p&N>g**YBSq56`C0<;}Fec&YQdNBN8DCz=O+pM+lr zXL}!e$@Pu*Pwy{?r^m&EA5=ce`*?hvKHEH07dPhN&kFqRb$*{{(eGFutnQnktdH6D zrRw6wJX}Mc@0_WwmbiEZl)tF1a@GSs*IBn}cJE`X_SW~SSO@Pe@b^0B?+07-H$MOR z{yBReqwbps<>$<^p8wv){pyzr;#uzEDZTKl*TGrNe|?{d`G1w#k6jOLbpEby(ckF& z>pVC0Aw<)||q0vo#NXHEt-Q;&F-TXEC=C8$Lc8rh2==17xCc9{U(SN?K z^V+8Reo%0O`qOo(_!XN6i>oNkE{(_L%k-K2h|(=THqSK7^|4R=S+4$AKFzM_Gu-lF zd|j%?=HKYXzg_8m+~mwp%bVrb^jX~)v^b2~kEJ?;_mu74gUD zHg5Ue{zI1U(eo_dV;YaoUlDG3GCqTTyj9%tefWng-^b3ge4o;IHtD*zzVO3#om;2= z-J$R%gfaiL>lJn>JXhDrKAn$N?b-To^}4d0 z3Loc>;q$DHc0Wz?Hg=xP+aZm|`k_JVlLl=(292)>dU@lwK78zH%Hyf?ERU6!$hzL7 z{pg3akJi*b>u>#vcPTtqeY8jC!`Iu>jayx>RexHI|E&AE+BskL2fLr9c^f;==IxNi z^K{j_^>Kq{*XsY+)0D?k=UE;r)EKc}+ob*RhqbR;KX0|a+4*X##&x$sJI~mD*6v>z zAF=WGB5tPw!@Tr#3ADQVK|!nY4eHNq=VLbg9?y*?H2&>s?|kX^qfhhvO`K=*cUa?j zy4Hd9d4p!x*2D3qIiIJ`vwU`3_M_4N?Y_wECAp7FY2f?0Pjx>}YFN*4?RSfHKCI4u zP|)gogZfhx|6Lk~UDsy1|BvteT}Xl9`nFy5pEv#e`#fJ0=h=K6)_8oKTfa5v>E{}^ zdO!Ys&fn?tEPoxB|7i4Y+piY&v9sN`+jHQ>Iv-ZIKPYJRyg~hGHU7J1(Z}%|*Y3A( zS3BoTAAg$WZQ?wex5FAwS?kE4@7Ff|{eo8i$1j)u^!={?(`x5@ssD~Eel+^}2CcL2 zdtcZ2@OAuj<5t%j)Sp)4KkL4(cFvc&9@Tg(Z>{zp<8Kw3UgP(;<#FN)S!dtxJRVj% z=Sv=sX*@Q+25p`V+W1q2rq7`1vpk-@;_1m_N4MBHU-H3ZR zRSLTlKGo+Ec0X%a=fmpk>4H|@1_vlEJTKU%{@A$r^ZmxnjzL>*6Y5W^`IyZ*3-7NT zRy*g*Iy?3Qsw>N%)r-~D=}299ev|Xi_=;eWoYhswRZ{QY@4D(yJLgMX^=Ujsc{o?} zSU#<;HmW}{zH{YAkshn7T~AY8?K{uvYC_}LvH5`=_}-mOn}_&!9J1e6+kF2PjBgt% zZNc|9*XRemfUlz;)&X2iKX?IX-|y_d?|C&1fKFe#%KZL&$Ncx3Y;fQIvw;{w`5Ju@ z2k_du+ZnD>e3jzs=?6qW@1-BI0DK+&fCzqv!^W3H#;cL>rIGP#Bjd~5xc%Op{(kqQ z{(kr5cjR}Y|KP5dNMq^m9n1$LROH zKS2y<&U99Z{S5sAU-H3cgQJ`p#P9L}$6r94+ll{~kO$sQ1`VhEN5;ouft>13=a5uV z>P(+O@Ox)E)Ax}*$ZJ2y7UlIhWs^MSsg?QD_hUB6U)G|$ zzMrv4o_ zI-ZOR-m7^{+UY+b{e+|UJ9@X^nfx9{A9D05M;~|ecJjNyzN7a$dbi+p`;I>3=u?h9 z?&$4Q*bVj_oqnXWf!-~6-M*s_Ir@~Nk2^a0Sc82>r;ASmy<70QeMcX1^eIOlcl36u zlLq^a-tXwBE8?%)cl04gpK|nZM{lRfZ?Ny^{f^!(c-_9E4>|gjqmMg!`&?(=(fb{} zTkyJlM;~(ZDMuf7^me+SHN@}e{f^!(c-_9E4>|gjqmMg!J2jdH`;Ol4=-q{;-_g4TuiJO@AxEEb^l?XTr{4=|h~Lrs9lcxdx_w6-HUe$k8vO>nX0ox*yn2mlt}K=_<#+o163z zr2T7^ex`$7<>YdKO?{8FoA-$@fc+wH>?0TiQ1zvA zsovoq_}^7Z68M4nV7xs^u3*7(lRpcDugM>3U-T-cyJqQ+>dX8=eDH_9&W8PAocy^G z>PyZ3bkQjJoemJhJUl`HATAl7m+E|_6&>$Uexv^d;o!G(7g^dOE^Vz0_L z#qm(7gZ7(>EA+c9woZ@&H;dyZk3tw6x zh`Jgh7}g2oQ6~#&LO?e@y&;=}ynb7)w9jFVqi6Q1YSF5%1UjS(LD1wY_d z-z@zyz2+CzGyK{^5PmUEe!U#(bJpQ*8l`zyivCe9wI{D2n8!Ctqu|@f{>@7kNBH(B z-*)BejqvSPzTL{VB*HhQeEXD74o1!WWJ3AIln?7SFOGxCcToARjqn{(zQfA5EW&qK z`Hm{zbrHTJ%6DA(mPh!GD&HyPyFS8qO!?@`At^8Ol2=Cfjw@e>^1Uj;H?4eK%6DUg z@09W_SH4$A_)aU|TIG99gpU>-*VVA{^+ot_9YFo5!qJ2oPo-YDF_*kwzxtO*|rAtd~4?&Ku z^m}h*Sl-Wo_yE6qrZbsVJsnCXcj#58Kc@a+9QUUTDu4PS(gZ*Lr}DvmUY~bh)pR4@=8i0MKwJhWP$X`Da@X>UVlt zk9P& z%dho=X&T46KwOAdVb(w9(Kz~t%4Pk6`KVtInP1?Wsb9qU1dGuhiKm02#jBj+hBo{{ zTvHSm{D*#vOZ`c(ULHx>lFJB!|8lV9>>n&{%n$a_*hRq({Ce_CM><3h^3>LN9uOGz zC5%(vuONITy$ggt(<|qTx?Zd!%+f+D3?Io&Sf=_p~S2c7Q>T7UU2 z6*%g6O8BbEpBzw}0KLk|1pP&QGfMa-{!eo}CxkDH=dkk^_d(5n#B(ITVduEweeKMb z#q)%Wr$<<7p>J_^bTMNs-I^pLY1Ygy-d_Tl8e{ETDsj`44{=1~}rmG{8Y$ zs<_WjkBswufL8L;ukm2NNc_nn#o2AL)8p{rNIXNrm&LQo`TNcQM?AX&9P#W|+~;Sn zjHd&lzc4?0)L-RKM!f$Hzb_KcxbS81JnsBG8sLcMi2z4DCl&YkIWFVrsOT?@XG;B5 z{^Wr3f5PEgBk`ONzAT=_mBxBo8sLa$d4MCHwTk=v^vig9MD!QN(@qPE*N^ZgC!PP( z4u3}^o^H{T#k0rxI~w4KXK#Qbo^i!}e)h|FS`qz)@$_pv%AYJ!oZTinJq|~oC;LtP zdPw-Pc&44dCj%VuoC{8t4 zXGF%+0nuL=&mQ$x`I8an|FFX!io`Q6d|5mPoWBPH9PvC7;E3mO#eIGb%Xm5}`U~Tk zQh${{IpF-CaQJ&7@thF8ES?G-sBFE!--`nr@pJ?@=sk-2{9G#I=@HRi7*9Lx|9Jff ze{$0KKke}MMdIleJy|>(oxgZaYVjeS;Q&WGyA}8O*&*X;Mf4ZO)35O;f3iq%tNR{@ zzdsVsknm;kJm&m89N>rt&wVXE#Pd1DeSVJ0csd~Z3**_N{wjYm;`|?W_^(Fd85h1R zo&|J}4*gvi;E3na07pDa75Dk+k@0j?^cTi6rT!{^a=`gN;qVVc;yEFFSvt#H}ok{YuFrI#mNBNUQiklxj z4nG))XGr+6ca$Pez>o!w!Em63@8s zW$}F1`CFnJuNEKT!TWR!M?9A*?(@?jHME|_#Z{$=@va%JcpdWj|Dj5 zIUL}K=a}L?Kab0JS`qz)@$_pv%AYJ!oZTinxSxl34L=--XGr+6couZj^8tSs1~}rm zG{8Y$s<_WjkBp}SqQ5YnJ?gLWCnL`PVTXSr63@8sW$}zSf42uX;@J`4h-a_jK0mu< zJRKGNh4D^69JBRjs-a4Iie{EW$XIw1NB46yX>GN}1;~5qGh4D&1;XtKNpE-NcggNzU%xgb=La> z;%N_X#B-_QK0h5Yo(_oq!g%(mzsjGCIRA$o{-sDfL09__BBoIe#AuaKv*sz!A?e#eIGrm+|z7=r4>1 z@0;NDBmBup=l`_BzZ!|BTl8e{bkGCw@cOeLz!A^F07pEF75Dk+mhlw#v0GX{8jtcP zixg+K$xe^MzZQvSNcggNMx4Lf103<}2yn!+S8<=8-7=mIi2lO->`{M}Kf(QPK!~jrF!Dz!A^l07pCniu?TBAmix~(O(!(JKay^^&|YrN$3Bx!{^ZRRI=anys=yK zWby2F{_Y8I#4{S;h-XZ3pP%>3c#8Y`Ev+AoNBNUQigOys4(|Jh`Jy+-;h?ZlVG zbHe#M9pH%PWPl@{_UB4IeSS`BJOiS?Fh6_LU*%6mod3fPzbF#VxbS81+@Sv1yz~V) z;^_}?#B-DPFaKUt)>)d`+ggzIO1B%UGR%i_7y`MWW|5zkP7BR}s{+~;SzjHd&lzc8LX z>aX%ABhLR}hrciq&$#eq@jT-EJrv-G=dl1sJWnX@^K(ST(^1i17|)dYtNh6U=l_Jm zFO9@=Lin(StOor z(UZlq-TAvCz!A@`07pFg6!-brBjagB^cTj{ukk2VQ zBc9I%IO6$+;yypqGM?f&5Y2mGJbTn%V zo>hwb{Pf9qIx6}LaX%A zBhLR}hhGIDhX9 zaKy7Qz!A?b#eIH8WIU~i{=)q9Ydp%IEK=O+zQ^IOj>LoKZ!|AiJdZhl4+l8nnF?^k z^Et(RevZm`Iw1NBO*P@jgdt9MR)Nh{$WP0#9HBSF5^wai%^{;aJ?el(2 ze+v5Pa>4rPF;s*9_W3}jlYaPZpAVeP{7}1N{rWkkZ2s-@giL=5`l-BG|B&pGw!y4R)kG z#E3eV&;51CZ4{~_`+OVfgXH#WeKA0v!07jA-$~ng6xc z*}R$jAdTnE8~lX)071mDoME&4Zl!NjNPu4T`9mI&U;f^~wCy?H!sj&SwC(*K!Ha_L zA+$bf-z#|JD!x8vpF=)OT96NXt_6LSVLN{v15xPn9W=g}K3_=)K3ARU_qn7SSaCV~ z+;kt&={`m_kM{ZMkJ7m1AM!6J9C4UDJyxdq=uCGL4CRlipI-hI#23mVpO70>2rB5G zjmRT!dGh;cG_?Qs5&7PT{C+3@#fbcpi2Rt7|4Kw&Zn)Ry&*GnO@?VX}<9d=8|3N4J z?-BWHBl3rw{F#XSvWWa)C!fAl^5OG;T}1wflfNV)kM))p|4}FZoQVAO5&2_I{`nF4 zS4QNIJNXwyMdbDSD6;i8pmL|2{FM=T{ho>@`O{AR6%l#;{)#4fe0(@u zf7eIk`^Zk1Kl8uhc60#NJ&u)l`9?h_v7@B%uh-=piC`kPJ?Zr20QUWY%W z^20K5b-fnfH#wfR^fhD=axWro%n!rhI)(i(_Wz%yjR*LE!><(#%Wb;}()YW}RlReu zzXIQj34WaPF>Fuf?$U9!+o^E=Y8r>1vG{a<)fC?vm5asq4HqA-uZWLf5Fhr-VSK<_ ziLZ;e5Ff)JKDFDaaQ<52LwvSR1Qz7?A+878XQXX=xz1?6f$xV1>tsCyNsq4+?8o7+ z?(b$jk8fc4c%6LB&EsXnk9lMm%p>w1&Li+}9@X!;yJ-~lvXCI^nR5 zA5lJ`mrA;CZ>o<9F`$o(5`_Mj zlSb$_xwrCvn%8HC%E|SuS#B^QhrGkyX@Yt7HmY1KU#DEYt|ETS1H&L+$X}Q*;H~6~ zE-#!fhC#m6Zl}WecdZ>mk{@Mid zcVzs6SE@{>@13wZKtFks^0Zj%;num5ud785fA0m^c{`!>D)aY@;QL>Gj4<-6c7P!6 zdr0BX`zRT&RGD7?ev5}IrBXv&W`Drd;Udv%{-uoP)ZvuaO`jyis4v7%`FRL+i@cQh zy#2x*jFR6&3QJoL~w@~~LwwUaDgwXMIBUpUwT{9+gW4ayf_n z^50ya!1)mK!!XD{>NV^Wz!BF9hGjXRm&$W@6CPf-a9%`TXBhMewcDw1{=K3H`>=*Q z;=G9Z?B;yb`vi{%{-KUgpEw^9e+|#u!u2b7rONc6KCMsec6|cpM9c%jpgvLGs85^& zVP}!EBY36Cbh2Y{#p-jvtIy?X*Xon;ocin+yIFlAe$*%Y%d1bNCnjh0iSe*LhZ%3~ z6F7Gw4?`{wJRal$b%Q+MoQe4vcJm{6rONam53xRh^Ct4ZFvtVeE%I=K+8J?n1g}(? zPIfG=SRM|!Jm8!PyOsyWbMmmq{5AZK94@yr=&hmiqFb_Y$c)U-XCVJk!;B67P zg*1-+gq(Am`^1RK#rnj*x<2u0;>Y|j4Dyfl74`|>h^wei;9QPA!7%6(YPVD2{QFp6 zbA9&FIQg@3+|?(K2mWC_p+0NEUz>1sBY36C^q@YiPaM@cvHiqNq9JxF3 zU$q0yj^LFl)5(s-6|2u_SD*cA*Xon;ocf#+yIG$={HRa(msg)kPfX706XRihZe_eV z4>!{|@^FIf*84S&2YJAHMjmbv{@S#gAHgeCrU!Z0Mf}*;jS@ut+kWC!(UUL?@_;^r zJPZiEcGB4qyi#R4*|E4{d8p9AA9g=X`mjH{P3>A97|+Q=J28a&3B->)z`wjaC_OPb z%LB&4JlxNC{5-VS`MZ+%v2Iz6&OeOj_$%~M=>gT>E|BH0f1vr{ezK2XULTSTYP^4) zipcF0J5A@Wg=+6~L{2vHT#v+2KWAuO%Kzf}*K3Ij^T05u%RU+p`xkJ`cTxXZMO^4# z41@lqb~_c$e+TPpUN^6!ajcteu9Nz@;qkyf_A||O{xzWW zVEt>2=t&p`>*gjJ$GU+W`Bhuw>pAmh8>^AK;Z>Le@VTkS4^T6Xl9?+MNhjqeV8x}vaJP2N? zGCjzH^{;&-7xu69q9;;&7+`4PNQWqObYtYhqRe4p4TdJ={~9?{wi} zJREm<*rawzExpPq<2iX~Z)@D=Ab#Wl{^jLC>50i%9xxu}VK?LPJ`p?D{9HuN`ow9X z=j~tKrE;-8@z1VL+)e!G6AXj=hm7quk|p0{eGL^l`7MN`m{cATIBeFE{LKH*9FIH{>JnV6KK>Wx9{L9OO(i4-jJYYP`!zknN^Uy}; z?>6E`y|NhfHO6!N6?&=ki>kj}Aj^g4q5U+T*H8XaM6QFzv0phAk^9dPxrHisIwH4M zX&aaq%TQ`j7)Zvua&HC3ylEb=ze|hU>BaI?|CTHsgm4+XJe+WO;PD_2cM~7-uuJ%B({6qQuT+^H)|cCp#8bED!r#9&oM*^T2pc9@?n^g!gk0Kk@+o^75ec#N;dw7!UKX zkMa0E=QPpt`pYjx)+71L&O?G%s!R{+)B40I zlEb`PpV%#W5{5y24%0a56Z=lor+n{eu+L$;l`7N8j>Q$L&x5W$-=%h~PcWWSpZ%^r z5kKk^{^ixD(i4-j`owrxpYLb9xlg>C#*v31mj@mX@__va@~}tvYs2DamIuKrRi+1d zi1mq|7d;8XAP?9lArHTxcI5k7>v<5oQe`^XvAAM+IO_87AJwkqf$^L??2-7gevSB% z2l$tl2c;(_XL-PQn1^3sJl-c__e~y-$XTDTI`{8y*{^b`z--^6@t3DvpBN=R^a+MR z{;|Ib`vh>rRn#Ybk+{$&7zTYp?RF}h|EsL8xjwP~LVb>NKI(mf#{>Vczd(Ir--Y!% z;p$WHN|otBeOjNG);h61u~+mY41@Z_z6kY+eFE$paCQW*RGCh8EUs96mR=(L>BFQC z&n0l(!~9!)GM-bPQ?5P{Kk5_y<<+Os6O*(0#CTYrA7H#W5AUUM`f1we zRe$b6^TYd&F@kyf6^!HlyO-;r(V5Oe|5rrL`qycq=h^!$m5cSSFT4KrUx**`z%Zyw z>?_0m1swBT)W6WL(Z3i5{Y&k3DxCiz*4Mml(6`B-mEBw?_5Q`MFaw3SOx)Jy~VS6O>)Qs{L9P3ZW_fpGC9iw#=|^(gzs*f@tMv;6Dk+$ z6JK(D0{sYiVHo89-83Hd3E+rp1;hAx2z?5Df??1n)NZH3`M)E2n$ANXqH)ycxT{Yd z5B&QD;zNC+9#A(Eu5JXcRGA*sr}YV&ck2@$7Ci~Wpgw<|#!;WhBkUY-b_B0fnND^r zu2_8@boGfmWB#o^8PBQDDY2XF!x2B~6aMAZr_vLXv--q%Sf3wdytz-Hj*y2FY`5O8 zc|6Di_Or+X;>P?;yZI5kQe}FOhghG0KbQ}OK^{hF9C`S!YUiZ0BY36Cbh2Y{#qw~} z<>7$ZwLCDMlZW=Sai4?ukq7vfmj|UMCTDrTc$f#+n`u80I}iPCM9%s|g?2)D`-%Ui zaug1|>iZszzxiS>#9Tl6FhgZjkz1oip*YDd1W zzkXg9yi#R4*|E4{^;znYynUGT;XL%;MQ_$87|*HCeu*#Z6Nn%63IFoyQ|XDxS$$$W ztWV4j>XX!86xPclG>SY7vE6zecs$4h&L7Cb9|(VKSp3ZPb%Ix_Ob_x9>l2frCt(=m z0p}y+;Sbf$h_fSjrOI@&V{ygu(ACwLheK-D`UK-SdDtU%vpgVvVrl01A_Z<@i^ZE($ zkSEtcqcfd{kcT`u>tCmdnr9F54mp};z|2pZhZC-UeT=v;4-A94#Q7uaU%)ZnMg8ml zAujYUhC%;QyPXQ>W4_hThIR88jbh!5bDh-J4UY%@;rxMh^FM^YHsR`7@Jf~G!Md^j zHQXihXZ`E{6+H>VVBO$+gmv>rYUhBnBY36Cbh2Y{#n#PkwQK$Bu;|VD7vni~I3;$o zb%Xe^Zs1?ux>0&!a<*^$^w(UUL?@_=&~@-U@#PC7e+SE@`WI~G?g4`W@8{ooU7SJz3(cupSL z=Qi$h5I^z&|MK#n^u**W4;T;gfcnl`@75<`>-~R3^<6lDN?) z7zX(tqw%m$07qO!ed2!-7y1OlpiijXPKEQauJZcC5gJ8(c5^=JeS*gW|2{-~sLwwY z{#uXZFT1`9Ua2xYs88z?r$`Qc*80T%5=(OPpFsSmPxzNtpGr?m&gv86VSOHEytz;OZyHA)hFl(aJjlaG zh!1)AbK$QIyZI5kQe}FOhghHZl;}wq26;eVMIMf-oe^h8@Jf~GWalvPp}t~yIM&se zhrb|htOLsf<2iZQBX+YqAb#Wl{^jLC>50i%9xxu};ZGQE-iLJ1IM#dYJoNF1ob`#= zc?kVTk5FhIE)52eyaCIYirONc6KGzaIu4AXV8vDdwi=KpGP@n&m#!;Vt zBlOwO0<+8^5Jf?Q7J{ixc&nZ`*h#&O{|MKcn>50i%ePTSU&rdSm z+$a8)#*v2;E)P5&c1}7w zf>)|cCp#8bEDt>{4}Yh2Ef0+6zG9 z0=ZOR(>#2^^{>AtKFkBdpe}K~4EvXyH;en%agsy-Vi@!!jYlcs%g$4~Y-!<{yN=)+2RQ-{&x1sWLrSH`c#~FKg^yUlctF!(iRuJd1U6 zLhUSab_B0fnND^rE@EqlOY5?{TkYbyi2FJJsCJc}GM-b1{bDz}z9N3C8#!+`f1iTV z6O*%bgYj_P9A&(@e|?F@k%u9+Th9ZJ2YJA`19|we@Yjaj{0LsDGCjzH^{;~@hjnA; zp=r^RFbwkWD2*cz|D<+CoE^a{Ri=|2i;LKD^DyS}@D;Udd0;#z4|~LJmIuU-Jix!a z{-yN9<4uH0Vcr0etF#WiGL#eL!=$)Qg$4EluH?Nm4)`w#WAA&>t;qo~hu&PTmIc|7p%F!7;2|5f;F6RvIq zuT+^H)aP2_$3DmUteuDcP4pxTgZjkz2K9ML?Hq7+1gGD71D)(xT*TH8m-UH5u0Fr2 zcC9`c&#BKTv76QBVUk0A%K59gPbfVxIjc{MhxLhlU0xo(Mx)5X3AS6$1CIxJzk~>(OwRIv@h}g^7;oN(Sf7ZUhp_KN{`~&M`b6wJ^cj_l z?I-@;^@(p2Kl%j2Apf7F@vu(-M_ely#?M1of9MkogFc~lI~C5yemAc^|D8rrpWU2~ zdVTVE;NPDTAL{cv!e8r={MGjpj902m59-tU#3`*4>l6Q<=t&p`^?8KGQJ>#cJMw#g z!Sf-t!*sG^amDJha(Ux<=zD6{>XY%D`s|nZvOe*rB!~Khe|hz(^u*+>J~1BFC(aLf zd3cgWk%u9+Th9ZJ2YJAL8F@G({Iy}`ug{O*l`7MNJnSNV^y`BJ!~Fz4PltMB806v4 zh!1%{f{9*}-!ZJ)5xi1mI@z(fVtMFsdB7DFb}bK#=j36J#Fym(@gooLFE0;DPfX78 zfblR7UtoNO^N{lwUwp*#ByuU^IsP)4{``aL_x*(ChxaRo2pUJs<8!u|yu^Ig=x@cVG+Ukro(rFJ_N&d0tw zZ{6Svu_-Sr$KAT&@xVXq=df<(3V&_FtsB8BRi+2)#`@Q=)`RsgTn|tW41;xp{U+AU zGt|xjXGic#mFZ;1;)<=C-L4Lwsdmel4my`Jo>PZYZrvb$tQ*-6H}7+lo|v4i8;pnR z2IrK#Jm7cakcSg44?G^^;crM9dAL~TXWGq=;FT)VgFIOOvUOwUp-Xf=7zTO3z6E)B zmfAV#>YQPaN9>ov^)CCT;`Kg{^kKa-4A#5a z?Nm7bpW#@}ehj0C&*puSaNH-rI9@0DZ~Xqw=UjaFJvzk4Fo+NPiZDLeXB5YWUo1d; z41@U8Zl}WeI8WupXMH}_uW_!*lUqomGo6ER-GE#wki2Zn`)A#}EYNvj7|aXq1BUYg zyp=xx9Gw@2!Mv#5PKEPvZc{%S&Ku7ae^z$$daCb_cs%g$3nY#H@;u?M;ddt*-p9mv zrONc6zgVBgJmETNeIDO0g8E<>^q1qrhyL<>wIjc~Ti+iEUa2yj>{wi}{&L9mmlvpA zt8>P4`b)pWm+g8pi!%Nhz<$>{>JnRv>SsoBS@*w-U=030V#N;dwvX3KveSL#rbDy_95j)S~{615k zh@EF~UZ1H?e8%;OE}b8SLH=<)4f}*#UyJ+aWuy=NlVQ*&)NZH3`QNVl+0Z907k^fc zyZYquz`w7MJnHib;jc}&x)HomWqMGbS)b54u|CnQ^T05uPh6)_pI54#1I~`%l`7N8 zj>Q$L&&n0jpX~nlRchDjlkuGToD#cPeIkC;r|ct|>r?58$yt43JnR#=PUZCp{H`PV z#0j=r?-M*83lE@@_>B-@^H1OUa2yj z>{wi}JoLCc{FvIcJTRVI~(wqmSCnjfkz<8Jk+;_=a?--qFKZyG; zGp+Z(b?beR#>p_qBlacXdY64l@p{MSPqE$^2J2nzb}F2Y`#m$oXY=m&gSfvlQ+&r< zeE3}@T<;kM@!|R%#wXYF;`m;n@i7eIQ@foC=i~kh{M0xY3!gWvb@jGb{e%?BrHtp) zo6zaE;Z%RS@Mrp|zPUaQ6U@6mASZJ62 zm-vw{hC#k?9Sid%*R|q&;rBsNj|_u+sohS6^KrjI{cO1YRK=f_-Mr50eUHZj|8Rd5 zeQ&Ao*LtKcWcwq*D^;ckeb25x!&(n^{kc~3Bn*SThdzeBw@mFUa&`o-RGCh8EUsAJ z+wJP`I<;%-kMW#3>=(P)^#}2z?@6C+?t4m4OwRfq#>2jc`#gDhST2689CCT!@gNWA zE6Bt3IzPj1egv;nnI7a}7s=s%>S2OdH+Dbbl{y~`gFK+mA`h=pJ0s4H;FT)V$&SSp z%fpz_^P{hJ&d2>P z^^<14?k9d{2>YXP&PTmZ@Oa=KBv7Ay!e5(kbt8DC%JiTPuwDU5{5w@aQ#CbZdE%cogKj| zRi=|2iz}9gQ!Wn!YS;3>cupSLFK*nYB7WpSuFuVRPk~L1Yu*7F3;V>UU7xs(IM62;2Kk5nuulMQrBAFRKJ*EOL7z~&oeJmU zeyI9M8L#{KS{g^6=;nOX`vi{%{$V|$K355Utw-`F%ZBs`Ua2xYs88z?m2R0o+fTes z^dt;}`b6JFeXdqJi<}+7>3gX`Cp#8btUkNcuAOJss9md1#&hbk-_50i%9xxu} z0rz#02er>wxNf&QfA1g;tXoKtT*`Qkzd|pSaQ_$j+j*eA-e`XKd~$?fUO&NpBu~!z z*Qtmc?gQt^S^qj6k;8po$i?p4KjHe<>xm!pz%Z!GP8tvU7w}g4*Bgir{flAHztnE0 z!uh!Wt9~~0uMISg{x$B_4UY%@A>UXxZxsI8gsW%4D^;ck>&E)msMdq^uQ!RFgki95 zo+jD^;eG9g8crZpK_4-l=wN-7ub0hf{9dAbzYH_?NeCl%ANJts9Jo z>*i9%o8Pbc78*w$PPjbqc#sFwDe~}E;jc}*`4PNQWqObY>tD8R>^w9mdJ={~9N%k~Mie+0ZApi$5#JU48O+;9n2%p+0|7_-hlcZUnDXnI6=q^@$#>6WdSR zFM1M&L4Cqc)aL_g=YX>#IDIcQ=w!#@iq&VItIr*3*Xon;ocf${^@;dVpJK1MK9!!B zoYg1B!|Ryf&HIU+;@8R(E)P5&9B)uH}L8oIJEYt8qVp_>l+rm$$D|dSY^x2aJb# zSj2ezJT&V3eUSLEZlQ(xOUihTzd|pSUatDvg`Y8;w`hL&Jam*`UO%}eB4_>UR7CC- z5jpE$V;T?q3ZE-2R=HUJ`U}^;{sZx29vB972|Z!|61~O!>zyQr{>3opUuw5g;r!mZ zpAG%%r^TO@-CQU2>no23{#A(&>*i;Kzt$slr3s~d4&#+7(}Q(m{p*mV zVBIXCajcu&YG;wNBY36Cbh2Y{#n#PHSBF2Rc5U4-o>PbYVmI68AbzYH_?NeCl%ANJ zts9Jo>qg|8``5d~ua!e=x1I+c5Av{#_>hNp3x93c&5z)fD$|2JSpTwhW9OkgqKAJM zl={%y;VrFCer|_6{Jh#3adrf+RGCh8EUs7{PPsh%g4(q_FrJf#JuVN3A9=WzU|t@S zo|v5F0pnpFmNGv3^N`qWma{%lxhlUeiT(I}lcTOr{73Q+eS%?-|LbWy>=VEdSJCsK zQQ||NU>NiXwcDw1{&lRcxjui9#>t50i%ePTSU&*h9a=ixmxjy#-jdEoIN53eCULGBY36C^dJwh zKCw^qBn*Q*yqd<5hhI`VC!HO^D^;eG9g8cLhYcNQvlq`w+>0vz+yb?U6nqbrA0ppK^WTePkbff?<&V zn`k`j6TlHyQJ;7}@u5#J4EluH?Nm7bM%LF{pZ|r%QJ>wMkNS0t#{>UvCO*{XuLysw zNAg$SPcUAoGCinI>l34{PdqGo5{5y2_R~1(bHCbIbp4)9}vG*4zb;O9(X*+!vOIi55Fe- zwP80uf>)|c5AqP}6CV^k3Bw={x6(NB@at-4#Mu#?zLy$wvSV?@@^IMY;WyN-<$>{> zJnV6KK>WzV()L&Nl}d9T!|Wym?;u!KyiH*{L3(^tnnUpQ1XF@lVBUc1qz=-kkHa|36gl} zMFeRRUwSdY)igo#2>$L@?%eb|f)j7LPGY`gz$?WJ_8L@*(E*T~L6;JwRAgKZe67shK_H{F5pk^WcT0(isbdv5^j zzj5%*fGgg-;z7ojlpciK%@8b=Ke0&Q$G2?WyczToLFjv6#}L~Y*>>+1jNd)j+Y7jC zX{k4~yLt8NH)4GK%E4O!x81XHJ>Y|Hyz4c9_uSC825@t4X$|DiA7Gc>W&rqcvQq+m z$L3u-0Jm%_?FjWhcyll7U$u7ID$wtJYySqo>Y4}N3b^!^pJ2aUTNS@d|I1Xrk8A7B z`#8?~x7^L~nSHP$?pM~<^+WIKTkahI+;Gnz$3I+M*$4dkTdHpYqd6!nlovTmI1xyOn$#%e{Fq@;+h zC1jt9iTXwz63TwzFWtYGq{m7e$8mz+Ia8TjvvvjQce4LxuHQ@#6(j30==D$=llh=T zLl5!|`;zx&Xnrp)t*@2UU-)VI4SG8rYNulU zdZv0@`TC`(+#2~4Yyc0wnPdmL{4*dpkdAZXOIpa4y zUamp}*fqLAlQZb$7CJfOGkJqvuBSlG^mw`D5jo?J*&8U3GkI@sgUT7*^n2*#h706O zpO@Peku!d?>*YoZHstb1EO z2K_qvF;Wb^L#mI;mtM_%+s5nmN;_w>USFjLfTec3~4LjS0HgkR~9|nD1uF?7GYf(SNfqmZO-DH6CPjEJU+w5&@(cZ|G zt!!s-@Y-c;k09&?*Xz1F zZeqB4&3dl0+g9_rY_hhxzX4xO1CSpi2EaA958ehy?6+axvYG~foBRfk6GJFpTeph! z)Yje3aFyb#6xV(JWbZQG=TGWBfATxBZ~p@_&hgs%!v22loVIz=&&vFL5@*gVcsorC zy~_MtZy((s_yjS~{nsk7(?=i5$pRD-Jufu)HJU%*_zSp`{^EWu-F9TWoeToUIe_Ln z<72TvPW7jAh%YI1ra0Gs?@VXpY~-BLAU})X}S)c9TKiI0q1p+fINC z?D^)CXDU_fyD<*`Aa|1fA|BxI(;(s`{#5m3@zOB8%1z@_Gz$ATKM=jiKI}rD&EFW| z;O8`y%4G?poL0yn{4qYvFXqwvVYum?OA4S5J@cG{OPdz13%idP7Q+2 zY{7a_eVIRCfIqkqf&4H|{v1+V`4hd5(ll>}g|Ep!neTEsI!pglU*_Lj(hvV07dutP z$-g6tD?j(yym~q+eAzry2q(S_FRCZ-=hc(+<+i;zpXTMQLUAMSW`B&h!g@l!ke`D( zKgMVEWb2RFf;^gDt0(k#%+CU`S7n^$2j|&5zZR-rhzsj_j9}=OU2l%6U&e>}N1ey~ zGQH*(`YHUv`|eOzjFVrNsl68wgnz1!xa50sb`d1MmY!n!^Ag;D&g0unqu`^PNd)I5 zF9BPQzES1tP`)m(qjN=l2ORU{-rC?SdzQY1v1(x&|GnHjx(#QXjJe7@h`^PD44|;+A1HE88aN&MQ__unE@Ey?$_zTdhjH4H;K1wh40Q|Mjt^5bQz&HcFRQ^l7 zM);2C1;!2N^@yVvtB&hMXY>Da-f{FY#jgWj&`T>Bru`^TdOF@_2GrjFHR1{cE`ZKb~CRmtZ`=zI!~m zb$I*e{&H~tS}wqkCl~l7kPG(l~#cx-j4O*CJ;F5i5y-ZPakj~>R9=BQe zQSo~sJr&q||5#5HIr7G?C!BU`4jn!^;KL1Z+W%NjSnaqTEc_^a0O5FX>i@0v1k5*J zcN+dZo_)uzCmh`G@%g9q1mMRTZ}xeYCtisocdR|z@%GViorC*B?nL$i`0?bj&(A#Z z;Cwe;f5)yT9NZsrd2#yj#tGoZlMCh>u(JyO4djlE>tojw4(<=R6ZIG1$CE3Iw*$GV z`1g2n$F3(F+#hoJaQgAiQ2;-lT)dg}0qY49Ur)fg0rVJIPw?#`{^5qeeGnCVJ(=h} zH3!Eng5yBEgSfT=Is))pkk8N?FTuWD4KMNjK_Fh^I2~3U-_HU%9DkTSA^$p;jPP}3 z=`mrm^wA`DU@|f9b1^*8yKJzkt51I?k89-!j=%Wp;H|)JE7&+4RvqU*a^9baZ^jtD?E3|O@zv$TL539~SavcJG1pH>Ke9(%I2Z3{)kc1P0b3SOtpD5wS#o?d7{-4421N?VZSbB&M zmf}}I90MqTi^JQ2p8~)7J9(Vzgp_}f$Mz3V0(<;LSihe=o;=`heO;1|Xd&;vZ6 zAJM0K0{UeW&;z-Eeg%sT_sa?BujBN<&R`yZeHFVt;_uu9AG{stSvCg8uK$S}kvHNm zzz%@_6;3(Uf53YPfIpbGV7{`RV<7N|v7TGz+w^GKL<1$ooI&N9HjdZwK@v_qW;aD{vEv@m4U;Jn$0CV^EHF-U0I& z#0^$~f3e~P9zVeSbQF&p>~?O}eE^)pA9>XbS%5#^Bbg^ZVA+@Axm-x9d5$vC}@Dl7F@%xsE z;5=fCms)sfftTQXV2771eR!e0?0Li!Z;H2rezF(JzwGA5Zs*Rx`vdwlvJVpEXZH`- z`x)Lpu-<_8Mp?Kd`<}7%U_5X;?P1T`-|u_=YkfsI z`hxKstuL$oH~L!qAL#3f>&uQ4Jn>c>K4blItbIS@IAAA}F?zDN~*Ecg`4nS@pls7tHVf)gSQqwg<#NU|;sS^zY-?C!7k{7tHg~_GQ)o zMqd{o?tk=O16*JBeGZ;@R}PksC5oCNw>;&h|+W!3*i-$fib|BFB1>&YJW{Rp0T zPP{rK%;tw{!(I=q@DkU@F#|90^A7}G=mqOHXMN;mUB~rv=EPwTufV!EHvX~NaoikE zKbdU4zt{jxTxd(1nVX6BTrVz{YO7ReEhq9vaXNnCx~<7^^?_(;}A|i zZP|Q(_mfrsn|?0g==Z;jC%#|U1NH-;pUXIW@L|C}f35oR(jc?%FJRo_HS)pRsla zaq#c#&bmI1e!d)jW9<$F-y=S|by}wIp;sGT_})AOA|C5gi1MZ)19vWP2`H9WBZ|CS zsQEiJYOx;V5@h-O;LE^$jy_zPt%A>{#Hn!C#i{RWAFk-HtDo|fJB7;gG4A>S;iyvH z=lV-mis0PD@27f0--^}A+-3Kxvv+>Dh=e|3CUfT(@(taKxaizU+&q_Xt#RuLu#FZz zJeUj=*sLtq_bcSHyms5+zIZ9_ACCMlh?#vs#mI+L*z>LBFY<~FJf#(PHUl4;+V{8t z(AdvV{n_eWre;~&{3CS*_Y+ZpI;s5Hs1L~YL|EqA!d+zBSv(&fH@E|uDXk~(02*O= z)pzF^+tor_D_aBBnf5|xL!f792fK{#pd6>`dxApcU+`U(~)~8)(;~4 zh6UYUU?s;6RP^q8y}_fKyLT$``T6#rnvX=wpTg+H{r9Mm+B{9zj#WUC=hqj9fFzzU z4fURNJLyi+$9r2haQ7`B9$&)$gHR~!Z zx%H(#_95IS)p(8Nb<_|8H8?}q!cqK`0M}jt{lh#;TfP}!7b(0q*0&b`bBp9Ez}-T- z*WWd3Iy$pwKYwPUaA)At)5!71g4v6*_DTFWB@(Yc^}&bvXyDfuyZP0WM1Oi&(L~*= z1+&WC=SdIT5_AK*8uL>b%r z?MeM%@V?diUW#*F<(Vt0Mgg3TEw3^HoVH%4Pb(pa)3?Cr4HJLf{j^9jrN@{B|Ex}`LDWQ<^eZ~NQ@AI;S6C%fUOcaAPul)FKEKY zZSO1HoJFqlvFYpak1L87Xq->cHjZh=)VZjc+|yXObuL|yeKcL1HbdU+nO2dB>oZI~ z0Ll7XgpP3eNrL25ay_6~qfO-la`r8fR~9LS zE|8V?)oX-lYph5W>^bJ~qCr6YEIrw)P(k=xI^pr`;kSUMco=3mV^X&~FV`@Sx4v@3 zTg{4LjfiyuuD9p5J`{MlT`O`4(l6Y@Bs3+q`~oyXKkq&Oa@IeRIW?Yl$S?U(kC zpBlL?FTIId9~cU>>rP+np#4r*IgntSy;2L%^kHQi0L`K@`JL5r_xI#0_iE|9!8ESF zE(yLUYs^1=JI^8kL0I=qj2y3++*5c-`h2<+??xW}hdWR(^&D0BSi#OALi-KE-J1PG z4?<@wRAH_YYN~WJl@%745unF)>bRj;r#37gqy0uoc^c15TsENX!-`q5#mlsJR?6+( zldspSrT+$FUU?l8%8_gE-^iW$pK=ZV8@WdRDcAVFkvr=@<<9PKv@>rpaA92adwJh*aCoZZIcrQC=bY2Ufw<2OXQmI*FDbRqKn!bbrE=caJKrUb2_ih&cr zkww@cks-pJd`MJRc(YlQ47_W#d2+j;dbBOlFD|ruZT=K76AJac3pYSVXkfcM6<_<@ zUe+mRBZy6F&sWe|q>7kuwXc}tnW*<=L4b2Gm)D+iHC?Ee?z$+*OH9>b5X~IC1_M3wlL=RC)4nlRA*RFMvL(ibWqY#`5evf$IcuXXL@zB zRoSsG#nxtr7?DBtN%jZ3Hl4n?&}1om|0wq2){ioDOOwRhrC7i z=F=P*&m|b|6?a)!@F_v(njuSWlCh$LEmEbf`{@y8bC(|2?g!26LO*(4)JI;vG_=vf zF7^9AEHEz;$>MuiCHtS9qbxS5Zh)v=b7r*UNt zXK&P@cV4zK`*p6{nn7Yn8{5BTeu{CaH=ePY2|tj=cJ%MLgSKCh@WC*PcX?mzYP%RieDvNWHr7aOi<;@FMzdxL%DMlJ%?=O|Y~% zZAx?8`b|&5i=KSmO5sRis`Z^=%sl;ZZr zM2pGj7c)b6wi%hPlVuplFyht=r1zFR-unFJ<|Mc{(ROa9TEm0vdc$8(N|e~0n`ieU zZuL?PvqW^9v+Yczp^7MyWT8nFQZA^ga~jjRmz%X*8j{|GZh3K11feDC=@?>qYHzY$ z@_d+f;Uefp()}Z+i07_SWVorHGK!<6N=`sd+_1(ia%@AYJlc5GPJ@qD@odNKSD)y< zjdOi{n*!`?XE2)WoKh`j(@5_Bf_O#ug1K>E7u7)%A_4;3hj!@ zGLKop*x1gfONj1T%oV< zG2#Q?=O#we&hiEW6~jb(g@`9yD9LN6s(U4nYf=%<iH=FHpzPvo`Tt8T{ZgHHXu&L zcG~xNw`#*G`eDJjTW?11w=<|?bV@qS(a(-j3;VT}sS96g!8Y7ey@j5$krKa#?Z`N> zdwq_~@ml7?Z>N*YH*aAaHetjD5Ac}Y+`eyJZfhXiPIF{fsfF|xJ}LHuv_i#}&e<^= zaSE0WnTi^FTK63gg?wU2M%au%#AlkW@g=M(Kq|es6Po=9jhh2$A!>*9s#36HG;5bT z=YQ;EW#{BdE%D+eB1M#2U#X9{@5vXw*ejTM3XZ)-rl}Uip>3#MB2B9M;fARW&uC@p zPFB^el}0^cj6o|?@2 zS8;6P0rF0F#izj18?u?|#4GNU6%Ev_xAVRv=dO*6j)x5$$?v&)W}sPjbx*F9nv%Xy z=i^M8D8G~Fn#)s-D$E&VT~mk3sNL!7gYx}u!E^1g_*XP@balS;-Xd}G(ktBCmE~8q zGg}Ek%Pa>I7*oF3WPB4!YP(zgC=;0INpl=*)frJ=%?G-immN z@TgnBwvMxP?pU9J+sXI5JQT_8i z)zVzUB7H>y>4vIKS^L)OStJiLtX^0zJAR}&&iSG1wSzEq0Bh3{q@a7cr3?0opWou6 zT9+bkGJ`oVKS9T`HH~pY%w}W#B6X{v%9)foV)K|JMC#=q7FFQ^K_AA&px75WQ>1 zf}mBKdo9XL80wwYHTS)tNSsoHj#)x5)aLi2H9|`gzA<`2|``cfOEC zmXI(byxS&%DBMS9to{1Y36h8<)vWO$Bg@+KHvGbBS4DN)ehJ;rMxPk-l_LR_dbO$8 z4<9{8{hG`{VgyjJEy;&K4ERO4^NT9ylj4y_u5-J17HR4owKs+g&G>5wl)g z7LHW(6HGxUrFav!|{|A=}_(^lHePIJfuj{I3XSDy81#Cy$=yp(d~Pmav_Hg z7u+o0o4xhe-m6^~LMX?pO#3H0E zLVm{qa?nFFaWOM!HIKR%24@%sg#W zLt}>dKsRda1HTaWyrty4r@C*kRI~Ok-j==)=6^}nkkfD7v^ zHFoogEv1h$c2wB}iCT$oT9h4|vJ~E*gh^8gN6~pI@^g<%-1nIK-2JP<@Koln=S~Aw z(=IX|-?Lqy_}JcCO`*qi1C-}M>U-vpiVfA5+Eof9*5xWhPVY?fy#$eX#R@80ShxV#4A>94S4u-pj+36W0TO<9f{yJ~zI!YuYx8&&y3r+HCjo39s; zYx;&3UYOl2(`T-c&d~#`yAXs`Z+{S5l_=NOQSIw}rddY8r(I1?2ZIN1AVo6G5*!S4BuudI;rim$#!zG$Sk9 z9D5b?XECE1AR{X^6-Gsh?K)bs`#g2ElIIrTX0T=AVp5-~I@5knF9fCR5WDBEupi-l zs;HhvsuA?cHtB$BGfAn1OG^;L1l^h+SjUW9r+^yh=q9wa$MXR4JxDJcuo-sdR4O4O=kEA@h^QL8eG|h0Ei|H!?`YM83 zhi}~SIoGc+6j7#qK~O?JWgW9a)?DCG<3Ze;&{5@6GQ9_>{?-Qvd0#gpk z2GNWbyA`DK=^HNZW|*a#kXD{=+o^na#e+j|cPG~D5b+wl^-eLrgIaV=LPd6uoPiB9 zI=x8OqNRb6MziK_IB#UI|A_R7Y0zCc5|30~C6bF86BIE2o7fRA3y9E$^0n8)h=`b> zzcqGHrg!jrdYD>s4zS{ByV8e5{%sU#S85;3h*{lyVTa%vcuyR8$IcyFP^T;Io>OTb z-CL?HOXz;_Zkz5p2F@|iVD#N*mg!fAJpRCg9KH`$^V)&JsIc3HfvsBYhnM>fr<>XVUCDEwDe&^uDG%9_=OU5+%9Cgo` zW%3RdSO9}?)7o3S=Ew&p3*p{(@G)O3bp>%B`ZPo7&H-`b$Fm%rh2=M|WDYhphghCI z!;m+(p=Gw-)V}0;@re+0Wr3)-TXB()cBr|coA6vCrvkeOO45DS6JF6838loAG|Cw< z>f#0^!xiCB&RbEPH+cm@xe6jn6ody0Uld!Hn#yIfUJK-3|G9wZr%VxBMP0IDO+r}2 zoC1+BX!vTNF%Sz5q<%WY`pRp@|ZyUtG)eQ}hEK`R>!q1bgRB%g|N^z1F5L z$|7g~%eFv=a;UPJ)Ke{T7cux|+`Svyrhhkl<$0)&h+cf_{u23h%Wzu-X7!;{QY55J zap4l6qD?1#39%`FB9cQTJb9P+LdSyMH4J%zh3|8lzh|;W@U>WqJ{J;x)ppC|`a}RL?LOEGW zrG$K%ZEgrrjYWG(sF={TZz80v!istK_jHP;2%?z)&6AcVNz758m?%&`=4YNhOl~|r zT)IIA9=g1A&ug_uAF4=)zd`wsSczoHb>!$nl}8Y2y zk{%pvTviCld!ptq&#EI!=IJHP!Z62fVw-}t%Ecrg>W!e$8Di{xic}i4GLCDjisEl!C%{OLw>F+*XWS&9yytlpe3uV1 z@^Gm_T@jVe2jWW>!ePW9`KPYTOMBcJEw}t+m=)WI?1_E)mD@jP<4mYqnAG*Fs|#5; zXiVOSF zjPst*_QI=E$QkFnmZHL`%Wr?BwR3;eIM+b8KDF$qBGJ;KxsvhgfOT&pec6xaUGMI` zg6EfEz82B$sG*}urKEW34AI3$FUrT7F(armcUxYX#)v*;BXE)=iB$@;%F05sQ%Kv= z?`a_i_ZuTlSpAXn$w!Bwm}O`<^1SB)kExPLb2m!y)_=n@o^f$qo*nUln3qWD z>YxVRm=WpVdGga0CwTf+@}}v~EL4H%wJC-6jqca?{T}^<{<3e8^7|~P7Z|T^T5qO= zL}=J-yVk1%C!fVOuMj(q`dO<;Cx{QNm=(XcRz7tlv%lFp*)pPt@p9OP7TyqfHzO}3 zjQqkDmR0gLT|Z4gL;88UBBawO=I&)R3vsbi6KEx;F7iKkFdJHQlJrAt`a(qJjc)3B ztVcu!8Y+W+HKB={quwKNC-i#Su@kZD!niLCXPEbFs{3k7%_2xZ0Jw5|o$m@(e`hT-+BKv|o!exF~bCe1PYTNuTQZgJknZhsWha*GVZ zzTM`HEQqXM|ZWWm5WGNZ}(nUyk0?Hml-l=r;$~v@++n>+f{1ASSxZ-H1T46DRcYP9+k|=iPTjqXbPrZ7fmPo~x0R@v@sKSVZ74}pi z7YMo)Yq5|sxvtASA#@Y!{Gw(m(qQ4gW`PXW_U7$71?6ewX`mZEQ=dH`##&NjOsU`E zu;`HLz$SDhj98OnllF0=Tvr3kVVVw7R#(nN_uU^DXaxmWC>k&{Qmk91*;GEXHHugScd)+xY>4{4&Y5YaZ8QYS?f zu#j-LZG7pO!%4U#p3HpURf7s`TAA>bHV7S-5-6viuM9l83pr!aUCHP^XdV4JI$ScR z%dDIaUS5U;GkRB|`>oVf)?leeN%jZY`DL~Gd zB()s1#mGTJ1L9SzgcxIC^O9M>#|y*5!r2j0`1WQ-fM(-nV1Gidk*8ABYL@ zl=wPodCX(f;r%J{RwtM@noNc+3!6ms<){W z>nW0YQCkL8K0;sFJ-&+seD;51FH`7e6{@@Q;EuK^(2bTXvqqxE5arras=WL;jTMx7 z-<@Ibx%Xr>L1qSeRVsK<18rBK=l#_;>Gz3iuJm20urTRh?4M?BrPU=E8S+3m!Vk`Q zhY5XG&qqHR(rs>FIX^Ey*|amQ>0LBf4Pg>kYQa5c6y!TT_`%APT!$&AVT|9rV4b{Hu~>;%Fc z>vuHp68IexzW~aS>m&HFAAip)R|7W`@H_D0*+{$_Z+&3zOZajp^Z&D+ z_s*qr?6&k@+HF*x|H=ISYEt z9C4VvKK=E64|wkkZ9!e^al0-51IjcjNpL0zq3agejV@~u?HAOV2>R!YYP5X>IQC)Z1%oH^|Ks++{fXsHAWuUaUc=|Bt_U93!0!ae;&uEwI3|Je zH*POp12|a@PL6&358Po6PK$$+p8%J}!I^S!3KQTmI5RI6=HV-Z}u*9pL9IHh6sC z;C|Of)&UTI$ID9z@Z+rm?Dv)@^Z&E$3UZ5p&t(38wmrBx`Az;>uYtdS^{0&Ok2|&e;Q2S;ziBvX^ge>UFPqH&53C2`b2rCMrg$CftJ3gtw7tMQ z#_c?r{~x!)=-+Vz?|I{X=>x0-)-muTHh4cD!)qX(j?_7Ea-@AS|37Y@(RQQ&>y7jK zX(YTI#6>FpJ)S+=@ph2s4=?Z!H!D9Qj{997S)cG>8FzgGe8-cE0(Q7uar_&|h4B)M z>&Q4#afYMia&UjhooHPI`0?bD@pd2=h|NmtE|FQlD_IacCW#FCo@%(@H5_L$87&$dG+%PxQA>DR}t z1Lj*h+g>`XI__`a|MI}!!1(|#@vH1}_=vxaoW~(9Hs8to|13NG&U;(Tv2zCAjGxPX zuNz=p0dY zqt9Pp!w&pz1;>8u`7S~j@zX1uc5uI8w7*W~|Hlo2`GTMHV`Tub%P3Hff%>R$i zi^=@|6aTIP1B8?RF(3J4{(ljI8E(DF{Qq2BAiwlv{(ljI#XtDNWd48LK%?#-SOI%Y z=Ktrm=J?IX?;K3#|7YJ{nmfinyxHec$bL-wWd8sE`}zOR>KlmeNXNe_1_|uv{BWc1 zcun5=<@M4Yu4&TUZ;%2duGfKCIn?iWpii29ui6H}{39#4t_%- z9UR{OddS@z%TtMGOch@Ajd$?Cu!9KBtN&%|52#c(VIgXGAWS?Q$V4u`Tjz%}!D2OSqc@_$#w?YYz7y*C5zi z;`J@EjTKL?kB0~DCtN+{2kih&Xo>2QRI6WVK`X7A1I)OOCJ6L)Q{xxiN3t~F=x6Ea zWcURb73fkU|^`4Ati&z8GX|7wW-Adf$L)nUmP^_7C7*Xhc~Y- z1)DyDch3BDMPP0Kp4a?c1=iBX1?G-?O&qO4`W3XedKJP8Qt!0eOA=7zAT+T;xwtr>TNiw ztqVRAH2hG!G?piHWBw!1yAy8TGx35e=LVhev0VAhO2^h!%|?yVq*t@2R*CfOGRIOf6HhX)6NRX`AlSKV4^U&VPj3;I-BK$uNkh?s8ej>eUg0amtz2eVwdA``~ zt_C{AKPgE8oCv4sVO6dBSGX@L-?-SQU-RVPZ1s;KpA5}hm2@|mTLtu7zIlDV&Ykde zYxunq#eBc~3L-TuB!q8hECXZV?7^r2TzodrtQ?-dQ~DJ8z;s)Qlo?g^` znt&eKyB1()&1{ST*eEgD#Ww#BN_$W2{#efU^V9K@KT(0cbCi9X(>pM}Q&e5q=d2{@ z{WE0W-W87xlaG8ME>&SS<;E@M=NN&&Sc(4dx!%HkEri(GwjY4;ql*r;fbrWQ`Ol2r z4SIK#7yXcIxTs;`9A;-frSg)%l~5^H8}Zx1m;4DCM>TE&nw~BDjRB2YiTuf98CBxR z$`@`=47O%WA)t z%VgQU2xBEuc14cDTWpMp|4$c-v_)7tjQk5f=cOAy--(!F|<|eznrMa^YoK zn`*qfeQY-s3N$Z~zcX~J5q&p6$TuN;1vE?c{sfOyag~HC@qO-@FQ_*y=BtA1UhO^c zizM9{tq*V~m^jwE)frJ7qxdk0 zD)3QSCG_p1pQLq`1Zfur%La3G8(G`7a35MwTga=+OVo;&tJ>&loqh1`ann90eF4>e zwUUNtB~<@CL7V%!ERYi{iJSp)?zk!$@M$|n3r^FKofa;sy>a&9?yXF8ivZ!K`t+n* z0>XVfgl#76tTCN_N&W+%(Uy?sQ|nEip{MNkLu1Azjd=m*FBJJzt`W%IM)$QDE)*`s zopEF9BOs^8;}FXRJ|*&u6Xjp-hAJ<9r1{oE5GSVDOrg5(28zb?+z?DTzHEfTfK6pGuvb z%|m;0`6`%twNpM60hvOtwI6;s#yuD2{rnT-;PK5h`pG zEhr=<2d$FLu+;Hr`r!Ia;qYSwB>lX;E|M4M(oQe;!>&Rl>oVnY%URIk%)^hjrNin(K#?(%UDJmKe zYfb0Np-HW7*fm=wHCXICyf#UdlE{ztX!431AD%uWN!pI1j9b$Ma%koNWtkd`0+a(K zaSGbA0H9ol@Uh2H9)J>Lb~UN7*%imrJn>g|K(WeQv7G`qtY3(O151+!1xR=l)B zZ*$$ZDf)aA?RizQ03FYZRh3Ai6+?5{;BLX40_1&0_vU`JPvd%eY|cauOR6PGFKwW&S|HPFBMAOI6Ebh|&p^#BbD3qIX10O44{P z$ie%!kSQvuN6|-emP9_<*XE7K-0#x|HLQG}KmKmLVg{qj-rmRWaioQ#Bzfv$_~lG& z(+S~zw1g=Uz8~|dx%W!VQRYMn^UL`=`^-g8Gd3<_)Jvy#6^edIGxz~rokrSRuW&F#g~zJm+*}EbE!>iW{uWzX&tLUo%p^(zh&MSU&tR8}RopxL%5K z=Q)+WF36)%a{9&iO8C79c`?yq7+oJ^^ZGe0Alz-8!6JHrpjBZ()D7#HcZ|?>`#A|$ z-w1@21ZPuV!49lXTa_0*^Hjp{8g}!7cSh+h8AO-)(DW>1?!JX_ZWd$1d>dm!pOoZR zb6e}-mpQiHR%&+d7(XJHL1~F%OTTZsgscsgvXc?1*!aGIuNU$$AkEx?1tN=8bu0E@ z!3*MDi#;G?3p8`i?CHqE0=?ifm{6PbyW*KocFsry-SBz&bQbZrCFPbGwK2Izerrdd z3h6jZu_n{@7E;k+)b5%xP3L2|>~k)9*@3wh?!PMS9#I$?K2ELAT&{(6&pf2J0``=| za<1QSLd~~IS*l}Zb6+$aTr1;zmFXa6=4!4W#aQx`@l9Q(?7M^SvVl5C;1Fpjn8A-& z_Zk0e!Jb>KU!L_5`gRTduBq__dDA=lc`o+7lV-|SyYrQj4N!4O_BuK-a5LrMTPo_E zXTMj;De_hVjAsD0Hi>+TUfOJb@+D0mJwQj*ke*>UH|E0S({>g042rJP+RC&z3C7Se zKVEol8JY3rXV?6J&#!Dcz@d?g_}upm(TPsk z^ppC^^U;e1bzEYXY~g3}8$gpyCT85-F z=QvMs6U>C;RIs+4g0W~qx73ck*xHyDvZ$cb8OS+ zVJEmM*71qAT5Q=cz-EunOBTsjBh2cSkYY>d1S7QD#**0ht}ZhqA}|QrgP_SuFkZ__jT zg<&gSwrLtc_tnrRS%h+AVWl2+99!;scBlTrOkV^%2FlfuRLDmhD5cC(rpgVaJSZUd zyM>;CBWua`25rO8gdyFyI;jIO=@M7Z(xweKWl!IuZ1lFBu^Tfjcws<3l2G%_G8Xpk z!_bO{fv7r7`htyku0paw)^_=icbKZ0n!hZo*E2G%+w3Z(=_u7tby<)Ot-eS~Zp>JQ z6m2n1(7|3^Z4td!2^}J%V%ATOAcy&MUzuU}-*@rb(d z&O#MGru@O!NSMJz*5sowQ8V#*F7dSWc8+C<*7O=Kr*}f8cPz9D8Q#yVnI?oE8#X3a z#4U&OuV6z{Pe_)C;A~ZEWJhLS&}`htf#p4 z?M(P7f&4Yd|1o;5Mvwea$~|M|4$^9xxc`Erq|;(XYws}3O-$uB9&ODe_bm>}fxp&Z zPv6>pK@Ig>CVR%*p;t!wIInb=Jr@Wnp#`m^+KDN z{}n!rVvh}q4x!IFWFOPStskvqIIfr1oyD|jcw%6gEyh?l$7Wq&bG5GeON|x_8#DM= z{KDN2rxhmIlY5h(BRj;t_ZewLM|-StyzwBoXK%o zSOb}FL@gfG*db4x^*R<{Gn(2q6mjWGmwy1x5QJDiAfC3PsMS+5G6^{$$Cp>ctc2;N z40)D6g)hcEw3V+tga_A>S1))ePq+1%)Lq>a2ieawqkFD-=?4171#cKn(#^J9KhM~CqkP5tL$LO1 z%yez{Z1h%`e13?;t!v6E-x3r~hBHOh7hSY!nZrn@*_t#28}vpTP9Z4 z2Q@ZQz^>#co*p<35f~_6@Ix5U5Hq}Dg9*#8*Zgi6mj9HM@coFLL_IO}CM6+;+Ly!- z4Qf8Lqkb(+&LLxtJ0wvj*+m{xX|?WrwJk&RFAH2ZEphx|X{5n;c;9BK?gD#D6`uWv z-QcTon6*TB5o)$sKCDk7%HE+flBtj(!%X~ce%eZ;h2hg|yHMu+uL}l0mYVu*T>%qB z7OPNI69j{9l%_*`r^RNQE)_&((-jkbkovT3MAJ$jqb;OXg~czPQu*pxox4%+F1oAr>3YG72_Z=d5j&okHKA{p1*wy@0gHP5c&e(OU27 z7HsM%CpxADxgSAKAE=s#oV%_!bsqLGD^qj}`ZsQRVi&g}g{|+(k_J_G3hg&ubVa{MLitdrx=IXcRv49P(aHl2b|w zKvcDjKTg5al+;(2@I#87sJi)FHKfznP^}Tmdc(|la%4%I)ghpwqffLwaaJ&;P?B0R zeU-xdAD)+)Z{Zso$cpz)=b;fK_YxBtVcm)On`h9=Yu((3BCF<{6J}6fGGj9aW5ch> z>0kASHF&USoql=rWv-${7~u@%9YpB5QkE-P&eN#l-wmCVCT;3naT&>9rCUCLx#YAj z=8b})RM5w(O4E+-D9+}lb7gz-XhjQI=sGeqC9QuL8D6e0ksDU|pd)bbDY=(KJqQ9?8+BPB-YM2tu=B+Px_)RGYW zm&=okf$sDt0Y`}Yh!mF(RG(uF4}8zR{50JTl5`_AUHu}4m{#a53&K!`f?q|mq52Ti zW|m?L624duy^R?~=*QEEGrwpq18hopZYmQmbWlp=sRn0Y_an%Isx`5&>^rjCz&uS< zYNhUlDybawtU>nGy8ePYyWaJ=z zc|F(pgk$pcj!bnVtj*G6GvjK%4Rs#Bn#G)?_3N~ufJD;$!I|F3xo5^wr?85Qp{=#O zP>n9S&91Z(;uf_e~^d4;0QLZd> zx~r1SQSt0qHBSPgI5DiC__o&48>m{?wKpnP-5{ER*o^7T(~y%i zl`wNO@RC7Kv=yZFp5)C_J{wsYsvGEu<*gR@bl?EAtOSj~cvmAESLo%Pz;>>cQa)ZN z*dkmHL{({%_=(gWO7~9cZi->}&7z7QPtU>0ugJl!FOH+?R+e$=X(#ZM){C>~Ngm6@ zn>CJGytQG(qZq9sZi%8;q*1N+NIk3Du%LCI28P4QEo&6`(J8%NFY;&z zzhX%7Z2GLwWzXgaYFos{G0?r%#&Op1i2`TiN|Rt@8@Aibg&Xy_rBe7nyloztUfwSs zV8Og(<>_nr^e3aZ+(ziJoty9L^Q*SZI`s&asP}$3bJ{eD{>IG&sA5=5W@tkd@<~{2 z+H3NSAA{GIr$7?3NhL4#l95>tb#Dk_VbkBJ+;)S?6i^N0MfZ@Cv-HC5V1bwPdJH^0 z2j{U)_+s8Ii;3T7Q0_3O^v|n3Iwd79k-x*iGs%k&T5LumgPb2fr_Bj1W#k3Z3-A0%+DQ#1S1?zYH4&bM!AYOV4zALv=)*|gMcZkKEy(>v zh4f1vK3z}iPFb4dFp_L-#I)*&QnE)ysoZeRO-vwVb3R&TqNdp?(Q&`_*2X%8?i0+| zUlpBJ){huJ-EEt!KKWW0>B~F(f=V`!)>muPB5wkW8*(w-&bxNr;?QOyy7zVB8l*hh zP?wBZ-RsZX8q%S$?f+r#YryNQiu~`JgxiZ03`r?b5CQ}YY^+Idf$}B25NN2it+ZfO zq@|>gN}v@AR#w-lS-0yJtqZ%<&#fBV=ytWbY%Ts-?Jm)c%4*$3WtG*sMhzOZDoS;c z{^vIz_ntfVJ-Iifp!R8=hYoXQ=FH6b&6)SS@BQM}OJ4Ke@85XTH(q+|a}I0yn}`4N ztuwd0vH8l5+^b$S?M)BuyS#b$Q`gt`p8EVRyy=~PGi}E5udbXj{d4`7tXwkpoU7-( z>($49_&>Ma{y#@PnETn%=^uEgFQ)$O-0q=YPB|;LaBtsd-+RX67yYL7ecw!e(sj(IPdNR1M}Fj^9glyq z@pT`b*4MKn`RpT2cYWzUK5^uC2WGwQ%ISCg^k0AQ-20Mu9^Sm>U4Q;}N8Y%6)!&|Q z;x)_fJp9Hb^^4zrGFJLq=bZo6qxSsYvDg27%b)I>xp(%0ul@3|({i^zK5gG^N8j4q z_Jvm;{R$cnxp#i%vh$XoH+Ab#cm4J!pUi!K`}8AL zzw}4VFT9}R@4h&Fy!G58U+|q1fAs2}k9@4-2N(V3w{w5-sktZrdT>?uo!egak|UCz zbu_*6%kTT{k)v-q|FrD%-X9O&H}A6KuBpwfUs(U{BWHH@Uw7Pzy%#?4o4%JWuK%m& zBgBDK55DE7Ukx66_RCuS?6)h1E_m_VKGbkZ?u|p!KK|BeYns=->&B_?IQ2hY_h0Y- z)OTkrc*_mrvu?ep`_-SF``}M6`_jr6Eq>z3ckcU_|IO|Gr|C;B{J_te&sfp%m2;;* zdg`lR)&9*B|M}dWm;b}*_g(anXU%>5lXGwW@CW)odGyS$HN7%9@${y<-uWL#(<;us z?aJxb{PaDKetLfL&c)4Z&Y@LYvHZ$wPdM?s)%hoGJgWYevWgF#^M$t__0h+U{rAgT z{`8)iOJ^_m<}Z`ea;pLy{Y*JM?U*EXT58~^!I0avoHClWPEG$$G&{S{~meAXI8y;&xyn9hwB@E z{yz=N`L}e=`{7xAM_qB;v7P_el6}Sa$G@IG^94_ig!*){-O_!&b|EdxuaY#+RRe)}^2qo`3!ApxvwH^?;sx!PPGT zeMMJ>J`?6HR!BMU(Ip7I4^P8{P=$Bw7hP*^+wL~=2h2ne&!$A z$ot&F;%>~l;L_`P-IiXrjPviy&zpnsb1u!l0+jN3MVOEN9$&>e#{8j9D8A35P7tTX z;n#t`){*q&cP%E56Y4bdQ>vdCw;_+d-pp;b-lWH$;RoGIUvN&})cNUO3r!wZ{+G(* z_d-d2pX%NBE9DKCrZba{#facls>9-Oz97m{y^#Xm5wU? zp3?tS`dy{Fm3~L*x0U{n(npkjOX)Y2{4QqYr1XnQzo7JYN}o7`zJP-I1kmN*bykZ0hu?K>R304UHlD)(@qeh);yh6F z+Ws3T|Lk^Tjdh?VLf4HFML)-S;9vSa(CsG^h2A3CIQ0FM+hv~~7Ns|@yaoMa``Tq| z*-y3k(f2U_ni}W#zhj>1TDF$@6vsOy$iw{ld`?w=nYF)abAD zJLBq)7IHHF@I63S&)v29TXXGd;MKcQ{SlpvKU`PfFGmYqOMTq3_8MH*w%>Y-`Xf3S zf3(fnUuTW}aJ@(x?esvf)BJ1Ph^7fwh`Y}FcXYp)s>tp(UJ{vb$O>TNdtLa-jTQr{DTjl)HU06b2 z)t%kG>}8kXK4|-ro=i90A8cQ^=wjT*ZSTH>@8hK|EWS_PuJ_T~^*(yL-tTVL`(5@^Pxj69jrrK;ElTP9i2KCtYi?eB zE$GTyZXrL}?YFF5btC995`bPy0?-UmdK}?C<(_c6IXlcXoBr(Js5a#CI}( za;CF$GV9;fIjfit_Q@+WKC1`hiTfMl`&>S2Ys}}st^Ya2c(MK;uM+Q#F5ZzEJ)mh93>)(`<`mpu(>yPJ;;lAxq{c81ez}CO3eEqMl67OqW zyz6U>xBB{*uRGzu`(m>Fr)y^U`hT%XKI>dQqc!G}vi^%vM^{{wSv;x!GxN-1y`cV2 zq#xSDbthIYZ*cL>R{d)A5?_DmGgSW4e{>%Z+0XSqtC$b!|IJnM`7@W#duz<+fUSSN zs~9iV{~J}}eT$2CPmS@$*5CL062G7QCqJ{857z%&`h}Z`>S@5`vqbf))zbl6|5@eh z|Bfp0-r?dMsxjV__2={DC5xBL#J*j&;0nAhvOPm|GUv9gS*7*HdFRn8@#70l!+zgD z5B`DAeysNb#Jh0GvdcghWR_hvsdK`tv&-t_B8?-~ckXm?UsYq=6RbP;m;GP&*~R#= z?(eG-|6MNr9W}34mb{HmE-WqDsg|v#rux%o^tqp2 zkmdeGaiXrKA31T~A9VS2s{VfTA-?Zg(7m61=&aKD6LH^ECGL;7xHr@ocM1*%rvIN^ zI&Y#6eW6PHA9eAM));?E{XeyJKf849#JZn+)Wr4jaToXbs$Z>MQr2DjPfFlfM6&U5>*=&z`uh zKJD_kO7*MNRSFJ#T`o`~LLa=04n&i>4?Cy)9QvLraevmueQ%9%r{G|H=&7BD&M7~K z{;Ep+J6-&Z^hSZFat_`9buXPmasP$iUzzBB<#R6Xz8d3BS@(&bf9~&j=$z8I6n*uR zRr2|~%jdxw^EuG2XOcUYo6&H7#>Q~#hlg2^&(q#M4%+7KgZmN>cE|<@S z8uLlPfnOiFeA#8(kLb2+!DQ|yXLgm&r8rM~u1efrb8+vkG42!`tPee<`{9{grE@6a zKdEWrI{Lbc|9sW2R!0YZ-Am_C#QnM|aevFjeOHZfr>wj7A$LFKuE&$EfA<{p+g0*; z#O1TM#(WMq4*Bx)^qI{Q*Ut}J+>2DdTK&Xuu>0Z|o$P(t%;5PVt_Sa~lFtuaKKIs` zPYMq5oPrwB{yZO=89bLm+`p(2_s?D2Q|XPWwVWqXaIik~l+Hsl-E+B@Xgsm!62EZq z_thBxfnWF1br|dZ;VN-I?&98AW85k0p89;q?}JI#|E%)s@UxDYct7uT`LwBiwf%g+ zaX71VKL8w7REhgA3NU=G_4*p)j^S{i@5^SMRk}YwKA*3WPuAtLyT*J{a9E1|vv7a= z&{^gC;e_cE*Uu3y?%Aqet$w07=yOtgZfdyL>ok*nUOMxv^8N7UD)~%x`MkHrd=5Ac zXP3^UsGo0EiTh|5_hU829mSz5az5J6`?Q&7m(Hok=SB1@e-qvBG`W11s(!V)I^a0W zF2~_-tHgbri+fv*amR4**8|T_`uZ@t9Eaal$>(^N&$O1>&KWTr7Eoi_pXWt0XP535 z@Z4gt#t}PToaEx}uQBc@4#oR}^5>}b{*}o-Kb?6_>D-O|u)Rt?_yX(jdbg{_d=5Ac z=ainG0*7ZmXX1T~Z?z8NZdZL8E*m!-jYe_M_euDDu)oi-XP#63{PgB3`Lw%yHrANW zfyN=I#p;vTIr?idbM_mx!k$7K6bzOx*M8PA=#u4cM?dQ`t!U8Uf_uj?$H zKe_iY=DSMgRqTVeREhU&7w>y(j5ogi`u;h4AETa|h~?LrC%yh%<>%EORLKWFFBb0W z%yIjDUD(g{FP&4d{^zNG>mSr!%HDT*fs1!Zjqyg;U)Q;!4=E0lU;pxR>ietY^CFke zjvDhhVD&$p!XYRpe)uum6=AU#y;bTs~`R z%qP13mrt_)%6)==1** z-5=0H{<3`9RiB2-#tlEC2X6h#&!g8?iFdJ!_w_Z#8(aUN@9=ZGr(FND%Fm;puaXaa zXryeNch{Itwe`p66Hw19m0quOmC~D(wkln#)bww0w?sm9Zz@x<1_ z@~W0+tL9_tW%HSRzse0CTW1>P`q-rLEZ2ApPxEW@8E<%)UaQVy>u++?U!!tAZgv)@ z;b!>Sd{#F`Ef3Q(Kjen$o{Gnav7HfQ0D7{E&t5WOR z)*pQzG@PtYT3<9hyS~lV^?0iFyTA5kXZ63_)tlvKcv)W7*R2k()pap>G;go9N9usmE9P|5)o_kLDA@&2WmzZQN)zeUlqCJFA06+Auhm+5Y)Mt%G(K z=O23=^k_aSbie(fUMCl5d<&H>QQE5XKwT&MXhPIst^REP*Lq!n@1_doVJ z8hVD-ZR8+Zx80hL^+Ti9Cym;8jGA6G>g`S6`f%o?XQuvJ)X%|E|DBr8ip(GObG3rT zXZ@{Pc`8Fb{~f44x=7c<*V{AATV1cycxui68eNY`_jUDiu+;U?Gqi3a2idyq)_k6+ zdbd7q)cjigXHJ&BUeLO(w*Rl$&-!msKL<bUpsi&&RdmYxh?-X&dQdq1?Qzk_8z%+`EX=sN$Q_J4aWa=FIYs`ROz z=V=)!WkFF(+{)qn2P^7DDM{lCBU z->QBNn!c|2tkCQ7AL_Y$sm50g*Qeaqbv=9?KhwO`^?Hq`*8KOkt~aZngQc#wIUH;4 zJCnuP<~2Ld8;-l4AsojJG8{9fNnf}1HEQc@)W)AGwfT(Ne1>DI#`ARV$Jy%VVA+q0 zG@liJB-fc*&(ZsPy`g0&d;Y&h*T?Ga_eHJFx41g5bv-6?{RyA{?>NZneV4=2`baf8 zS=?U(9^v?mmXwz zuF-r}{DEJO3L4*HrSp}xD!oqW{$7XddDf;#eOuigQnTo0)dO)7JBurdBUjSFIY4jr(|PJVw2KjQ93l&+2Nn z#`AR7)gtwCu+&wb=Hu7(>EdH}_GrJ_pz*}?p02q3yjE9RG@hrsu6C%OgQc!^X+A6T zy1G*7^-AsiTsJA-s?^@^W%_zQBtMwX>jeF|j^K#F7{TT1NdJ7g>#9ZlSRb-}^T*n+IyIlQD{ong?*m=2 zvX{P3h8j}`4T z?`*5iV?5%5Us{eb|GNK+_H0J}Ee^8>txt(xzrUzxZ~ERo=3l=rsKTDU&X@k`@O9!$ zWA^$z5*7AMYFEu(&rucjSf^V2>*q8o>`$uEUO(qhVNXBrSd)K!-*AOJef>a9_WC~D z3VYOPE&1y{%pOG-BJ2k?Bi!1k;K2{mz?1uOc^yv%g~!*p(jVg3%{-X?s} ze!$6loqX8I2c5iu;x6;=@)8nSUqmcJemiOa7g_*U5*S ze9+0!$IARWIbD3pTq_PTuY0ZNiuQJ9)2@4?FpwlQ+->tt@{h?{@Mw z;YrEg`FHYeCvOwJnjtTBlJB9Wu;)DL1Gf9FtkPq_>NpcDs)>XtaP4p__q4rCEb=h^3j7R4y#)JG24}Co{ z$HP3ub1J6KRL0XvqZD_xhbY$JUP=IY$@tM(U9W6T$JYLo>Aq8BQ-80ENEDpHCGorUi_136fS43~K>aAD3nGwA$s<%n?TOfKGb4IC zRBxy1ofXmBsd~Fq@9c=)i0bWDz1b1HU8=W7_0EatJ*;~4rPqYZ(aE_Hz1^zUsCwr` z^u|=LRrM~2=i4BRiPSWw9REpE;t~PfD@f>8~!KV`HX)p6i+Z>*yR6?B^q(4?VVPNT$*; zFLQjR{tnpDm44$~rgfW{1m}w}ou^Ud6b}8B&L8({d>F^`HKVGZeIDh7IR0Jr;6JU; zVOE+q`z^Zv2;!Dypm92uv78Cr1yuW%>QU<;U^~^81t5UQ7zSbq`pZC+za(!Z+u20w>*cW^G`FMTtyaqf_ zr-&Q0UFT(%cwDzJ-YD~B57YDU<2p~P%8lAQvm*0MbMs(bA4}@*5WD(~4Ltv}+fWze zH$h$Js1F#v)(^&L9Qy)!Az!7%{y}cIf2dutU$7qa3lj4m^d{;Tu|C0O^pE7zNZI1A zE{g~9hzog*Q(lN4^IKjTPlEmONK&7iL=^fbLoMa}V0mMGaE`_)it%8+G22TN_SDvR z9grB#CCn4cH_f+C1nzxmth&R!Aab9?GUuj;f zE9UJKJ-*+2GtGM%@e|EETl9|fy+P6Il0H$FTty1hXNu4Hmk0b4`@9(28n)cVU4RN$!NVbRO0ezH}0BJ@|64AH-+Z)S;K!T(d4"X^V#X*#dBPX zANh;~JpAldeoh1H74vyW#xoapr%mQ5<};0M zJS=|1+Y<1|=lFn!e3tSapLQAN=K!_f)2;d7yh!>aY`Du52UnHMi(JSV&&c*xs zfJZ*-10MNoR^H>YQO2`9GJjQk1~gvPPx^iQj=wRI&!FfP^Lfz4yDQ+4&qD!^e8!dc z`0SSP>;{>?YCgjnuj(gTUHn6izbTT>sOS~*>CBba+pK^`KC=TJ`7Bc2L*)W{6mia zvq(OpqF2mki;EYpTUq}`K0^VIe0D1D@wr#Vvm-Kp)qEQ0g2ekr^pkNH|Cr<763M4c z<|*d$n2UFBz$2e00v`EHqXRGU_4wpuJe!mGtLD?K`KW%!B~^J$>-AMYR0PsUyRV~)Qwl24n=Q_N?%ix;o+T7Jl9md-d@W|)*fJZ*Fl=t|w%XoH!%wIL1VU1VyldUfP zA;-TvlFz8<74uo=;(dL?sIhns|KHZv+>L(q_bJ*mk-SLBwe0oK%n9nS_ z@eb>4cEBT_^8+6FEK%O$(<9^A9+|&tJ_8!B>L>j!{yxWlB$Cgd=oRzX=;GZJ@W|(` zfJZ)CmG}5;k@4&XnZIg2!y2#ZCtF?oLyrGwB%e{yE9Nui;vElokxz~uzyVK> z&l8%@h|FI#p9Xr~!23t^lW`aSnBzYl$)`=`Ddsar<70J-w>#jGPfx%jpXJJXe3r;~ z7EdNA&Z_xzYd)%#FE-#=?*JUb%uSIwt^o}cjk5&dM`#Xsiw z&qnfTlX;5y>~QhkAMn6uXTT$$hn4sEJSgMYoXlS}pKi@Z^^*?eIc)NS=XuE2_??k_ zdPT38&$Px89*DOk;E~Vq0T1~s``K#tLpz*4H((mH$bNuHb`3#C)F`s@H z@0x%|K5GLW`D|3)%x9yEcT>P4pSuDc`D|6*;o*tjQn$HHAziK|i z8n5anTV4D^j{kZjpHa~(<}*j*Gn~5v9{KbHJn~ttyvJvWjAut={;K&j&~q@}Kcb)D zxop|~as0O;`LxMA#e6oqc;6fF$Y)EyBcC10dwjOacoxrtDXyyd;JGW}SN)_zd0W?Z z$3GIur&sif`8?s`%`}(#1M+DIc;s`u@*bZ?8PE2}{8jTA(0Elp>38w>IsONcd#3A$EQ!mvm0dos`(6SysDpUb@2~5{)dr#Mn$if&kh&w{Q-}B zb_P81d02Um&x10a9g+E~=7aZ5@ct3~WZcC+=J=mS@@bQKiup9s3*zDRXIj7`pO%0} zKAp;YeA;9@i|5!i?H|oY^^*?eIc)ON?)YCs^63@5Vm|#Y-ZcS_eAWg$^4X}o$7j8a zXM1G+s`w0OysDqz`8V*geEJ;!cqE@e(JSUN?BX2>c;xe7z$2egj-${(;4u{r$>2@&-pT*9g+E~=F>pWQ+fZ0 zelqUjA9MU+^m;1!uXw$&P39@)v);u!5b(%nL%<`SEy{a*?vn8=p6}PRe>5M}Pdb!m z7|0Kv`-gbZ8)Q=R!Si;~E9Num;vEZk38w> zIsS-9K7*oH%;$WK&(>v5z$2gTfJZ)8Dev)FB;(l)GJn;4hBaQ*Pqw=Fha5jOlFz8< z74zBR;vEcl5&dM`#Xsiwqa*pW$vnk;_PThV z2zca^p%2W5*QXZcJw8)qJe!mGtLD?K`KW%99Dh9ST7Ow-*x>wC-K;u>Yq~FEg=lJJG z@);DpVm=+uDX+KAfJZ*F0v`FySKi|@N5-=oWd5r83~Riq&#xL(q_7x$0jXGZYp6}@6U^EE!3XHmc-pCtj0 zeEOC5_$-(4EMEVrC7%I}SM`&A7k{7Q&yM6XD0;}1$Y*)LBcFB3dwlw3Je!mGtK!qG`KW%cSpA9me9g+E~=F>p07xDfP{bbz5Kj!$CMDl5qd5ZarxOg87c;vGy;E~Um z@*bZ@WIUUb`K#vBt@)^a(xH5D|2Td@B%fZ2uN0o9J_nIeMKA zpG(90xbZoxxvXFL`DuDgfj9~Xfb@K0iXx;^6g=ezms^UN%N3iH!(nCB;0%i_1sKeL?X zN8I-L=gF)O9p`v{YI|k#+vlZO{uJh?%Qw&8>*lx5L$f?sAFaEZ@kQLIp8+?&eSVtd zG{4nPZ2sS99nm!WhdOVhI;Y3k^jG@4E#^UesywkeZzo06xqPmk>`~9iyHo93nI2tSH?v# z!~O-tBM-Bux6ROcG-uZn4egg|oZkM0q!-!)PuOix3Mu5*M(lxGn*Amk4gFsqvG0o5 zZ+7-KMC@lq?6)}knA|GJ1ht|w{vZ*%rXd% zvwwTU{_KeTh_nBjh&}dOTK>D7{re*J=S1uucJ?2L*q-YH-``6bZ_7_F$^?QDb_TO>#)`w@%=R6R9J82?c?%Txgq*3(AFH#=p!=QBy$xSp| z_J_}9qwo9q?0ZIj$=^p(n(s?GA86Wq=h|Kg9YA9Ovz_oFn^bwoz%k{9#;)ZNYM zbX@?8a{$iQu-9|K-JD(^hxB)Jozr-;+lja90c0=1Kbg9_W#LNmT7JLceCo3ol1JFl zZ3nLp)8IOV^Dxf;-=c#D^uQyoi<#EduO~|1Uo}PNor3cf^yu~`^8l?k(}rZqIvrQP z%}SdV&^Y3Z<)`PXiu@L;T`a%HTz)NixWrbVQO z{Op_vF2MH=t_M43Wa~F_ozZy%-+vR<$r2dSJibnF9!I=-zMJ$qzKreTb@B_hjwg{m z){$wjj=()!NATe~YTQ%S(>9B@@pe4+T-v#jr6e&Oar`tUx*j@TJU;4X#p>$0bc62S!vTXJRk2H zx@9Q!*LE7Gc;*cfeRAL73J|?-2;)I~h<7UW)zd}4FvR+eMSbCOd6v`n>R271pFBx8 zE!BFMO9y@6MVCch2c`|lZN$=F9e+Q@3}jd z$&}?~{@1uV?2vgazAW=8bvP`3vrp2*h!^=2K6jvQflG$(w~t%PEXA$z#O(G3cC0Uo znH5Ycalri$a2O>T;=tnp4p?8{FhleUW2|3VAK`O(mIpYjW4)t``{q)`0ryd;N2UP| z?KBP?Iz?U>XZw;L;d6PGlOM~A(oV%;lfwb`Pw=ZaWtmUGp@D$M{juSI{DA}FOT$6s z`nwB_S;GP2Ar8IFPrgsAjM!P9kn?9{f4NTWvO>9>!+!ZQ*C%j)i1lF_;E#F@`viF8 zburUAxk1m=O<7NTc-_MNBKic=piijZW~EKn%RD%TmEnl{Mbu{-<5B7pJRZb{IzoNo z{*d$wct1h7e}&KGSsv7<^@$2Q98&*fQ8ek`w8eeQJi zIa~c&eKMa?pWWiOSf9ur^@;e>>Qm*3*;##JJgm0tco64%oNA;e7Rj`;riE;d6PGlOM|~hQn@$1MXAd*KlAy z1&0BL1M&wBh%XHXl_zFrIAA=);nmE?`@~+7r=1IKh}gBzIL;GtpIg}{`qeJhCw}Vs z#EVED>%%m_ANwop6X20owLXFSa`XwNL7z~+%}SeYeKqfUab>5Ph2GPBus<)#QsNpB5#VTu+{kyK9^@X`LVoW_1Q=_ zWWf6#nh)oKZuM*R$$UzE4vXJnpFsYoPsEp2pDItx&gv86VSV1jd?gN-&^T}yWxu6< z&Eo+M*w4V>QqeDrx%Ck~muGo^!#dK(xo!hdtcRT^=E^(?(*Otb8Q{<(^1`_DBYZB; za`Iz&#c=3!I9#TF4F~2^aA+Wf@H~P1fdk@8!$IYV*%=NP4{^Ae`S|_NQWx($(#O7K zGrIp_J|$j}XEL|w{0&0c4(A72AMPich^F-+IiSY-*Pe*ot>UNR{;Ng(?Ty&UL7wZ8 zG)ngwic8&3T>pA8X<;3h26Z`y#>4&v9_wALf6XT?^e?7C|5CrrN}FEG^HuJfm(V!& zO&ixqY2Wa85Fg^ezF8ppg?6c{;(dznxjf5*ePjJ=jn;$puZ1#C!Zg@77tuKO4eThc zLWlDsd@j#&@?&|$_RS_&hc8vXG%fwrWtmT@!*20g>|e+q`v&o)?HiRRW@r0G@GCuM zL?0o)73a;%X%sm0vfmO8JRabHz62Z=i+-U`;w<7Id@j%O00-+|o5(KgUrS`3glT{S z`X+F=LjCkRKf>qoEGIvfR}6=34u>n%FHK8-by?<9a2OE3MI4Yna6o)%IH)`^JHr9v zVgI_F`FNj@=i-&;l|PNxwa_@uIr2Qb((d(YmlayOoKk5ew&pxy@BVetWP|rLwyc19;N!^@gP3*A=Kw9M87a3_!a9@_*|al zL48`E7;$~#l`>DlG^kJXPt+%#%b`zfb$*1;rwo<37!+7PcRMog!*k(+VmElud+T@(m3j~tsZ!k>XXNV_;B4oeO@Q} zg?832J#P~}muGoUpVlW@TV?(1Jb~vOSO=y-ed4-?`s`Cb@_nHtKf>qoEGIvfSFAqg zsNZ{NKHLwjl6i~u$$UzEc1wQ6K7ssEpNKE5K2@HWoz*AC!}=Uxz7hxA#{-96hXaoX zIN*8!9BvT(LZ8Dy_*|al0S>V~(J%8POamNn9Rm)y-=w$-{mzf@xjf6skL4A^VR>tL zpSVf=Qv0F5x-9c4I1D%(kUwxhd}%nSJTW`N0plSK8<>yZ4{c~Ik9Rfcqh8sJ;$=Q1 zUXf=qf64z-*@tL-_}sCXXj(scTg0wW?e;|M{wiYEqIP>Db{o|$*1vw_`qvuL$2u?# z>Jr!6uz!KadSA>m-oIW$a`Z2zLH|;}%}Sf@ka;T3;WyJb_RS#INvVJFco5%m(!;*N zeJbjC$kns(xjf5*eY1%4aecM*v;MVK=HWM>(d$rhTS@nmxISaw+^T-IIzPha@+>Dm zmRD@wY-ug8LpZ|v+rD8wr4EP1Z?S(ZCp+vL#Fw^jmeVNkGdtTi7!UW&+nKNIU$3Qc z;4tcN;PC*5Ye^3{tP}mhm|Gv=b9t5rIDiKZn~8?^L$8y05~cwTIClUC+&2L4apy<) zT%P6R$MTBdu(P!s2i#YLI53}rLqkLPIS2Uz2gH|#gUS=LGaN7;;;@PN%Kp+w;}g9e z{LYA7OBK7nR=ZfAc+~X?+&^J`mI`fXO)bf?Ty z(I;?@MSZq09;H6P<3W5l51>BZDEftV!LN8fBz!K<@}NGgPwXK()Ti}{^)gSwG^o!$ z8b^J;N#q6jUgzMP!+vvlmXjaLD^{Nmx0cuEn`Pc&pI|{14g;cJ=#w~$I0&E1vpm2d)+hd4=1G_aIN+QF z9Nwya
    gI0&E1vz+``UNIc@wwB}Y7wWf;D9$;|r{FLk`4#&$@&^uxFAWEkCuV0j zU_8X(Z<&wxiP&?KyCZhiC#=r>`&-bT^?4a+0xoe|$^Htal2M9mG_B_GEc%Zs85`WP@gy_z|U6aNBCTx<>bfmiq&Vk`nCHZT=%g4R-eqL)aS6P zPvnpKM0{!Wsq)0^tUfUw*5|vKuf*XUG!7g_9S%Gm;DB=!aM&dJg)z52!sqfV4{(U} z30xns9!vuqaNYwBf31GTogd+Id6ttO%PWRMkHZ1|J;Z_e6dW23D?d*lf8c=l(r{3D zVs?fD#zP$5&wTuTXpM^(eGu`o8TB>hQ{okQCi8bXKP?;mmHGm$51&`I5KX%u!Z`Yg zw`-(PoOAXNO|$y|%u?*Ef9;LfeNgSPLOH*%fBn$)ufHKZtOL`aE^)35`xkhuceVb7 zevSUcH0WRIw^?b^hj_lqeS^MD@yu)EIw|!p9uMNfISTs*{hIU(?NV38>#Oj&Jj;W9 zvxxNhbyckgJLjP9U>%qS`v&Jf>>KO{_~~$dgwN$!PJS$}*uL4Ke($0A@I2=|>eu=g z^C@-MEq;sFSLBaRpUbnH{8(Nw9CkV!wy0mj zf%z011{@CS$qqOmzBC-x(tJTW_~PmG84iSQzb0*79Q1CIwd;Qj+R{Ilp6`Wz0z=khEMaESGZ?J`foG{6D(N5J7z>ZjlN5k8k^ zIr*`?VmS0T9CoN*!-4q}90tU1v0o#9;DGqja8P+-c7_ASLmaTKz(M`f?k<0hvChSN zAIY(Ap+|OE=2PMoc_xGP$NUXKi}Ta^@VR4%Xxe=Za7eRjq|u4)hk!$xo%OH1Bu(>& zb%z}-GiWhRU6;C1*S|hZT383BL0#hhBkW(`vEJ4C*Z(6e^e?7C|5CrrN}I6W8fV$Q zxt~U{Zw9$eO8tw+gZOa&fqnBYqF)$t^(=fY&+=g3SpV9j^mz(F&+-6=b)=8`A=@|he%}XVo`h+D1Mb6s z!?5}pcYcJ= zZha!Q-~T0I*FxhH-4CJ8C+ZX5bA96Tq>VnoG{AogjfZ^#Jo2j6C;pwZ&?lG%eM0>< zD{aEQO6wCNG>ZCcV?0WIg2#jSK16z`&o796pnyt#Fti| zDo@PL>J#H(eePtwvQPX6jRS{X_FKY%#{(QbOnSiK%c5WClQ@ew2%pQdJisB=C%z){ zBuoPwa4rN6yVQ^T-c<<)`~54Hlb@ZWhx&@)kU2&0xAW##NgMmXa9} zCk+RcCuV0jU_8X(-%{uR*JYlBX;7d4K;x*-Z>S&n{jySh3ZKifocvf`vHI+E_4%;+ zwfbZ}r9OvUeIkFdmtihg0t z;UIi2&+-6=SfBWo%#$z;aQGOF1BXY{&$#m=d@j#&@?&|$a9HGU_#gFaI53}rLjz41 zetrn~0|&&HhJ(rzvojnp9^!!O6ZV7puec9b=i>b~X=C5A8Ql*tpAxUgGZ|dRFn{cR zXd6k>?oS?!*jfMD6S2efB+Z}oue}jFTu-zwfL5%-cU}Mb4ryT>m1mutgmHeCMzL?&xK2vfR~`@I`xNP6-~6xW7uuz+iq}`+ zb9t5r`^Ng$CfC2dC-WpsgMEYhS?rrp_0!?}2%pQdocvf`q*j)f)@9w0tHbZBUzKN> zPpQLh@moCSAb;!|x!mthhFwu!hy#F9B|(O9DXSJ zg+8}F!sqfV4{)&lwTozSf@#nv)NixWCY(Ps&N3W-LZhh9LB^w0 zpFAGKx0CcxpFb7-!jP*Q;d6PG2lcs#^l{FyK5OTkpUFH4)1W?ae}nqmqkgtJKf=@R zSwc>JEH6?k%gg%2Zdac_SHD)D%%{}nu=p+3=T5Rieaih;WuH)aVs=)a7!T_c=ejf; zenF$aVU+!raNzL(hX+UxIQ&xd3uA75gwN$!9^eq`6OYL}3DW?Ff1`2W@GJE*?)(U! z%d?#PSYD)-ibJNY{C?=y>ep~!J_Uz{!^_V($R9W$zO+7}^2F>62aJa}Jj{H&PsHwr zaP95Kl-46+$%d?#PSYEOE>~!_{JN0Yz$$UzEc1wQ6K7ssEpNKE5 zK2@HWoz*AC!}`SiLmCcG(kO7~WxpjHcs#%X=VjoqPxK3YtY2Cm;d6PG2RN)Fee~;X zM8oq0K2L{wWE$Y`CDH>90GQ;3e&ii8t$y#22qV?hP$_}Dw z_e0-DXVt3lU+X;7DV?iKbg@L2C^ z{R_W;iT=ej=wIr$S!ol_)oJ?%Ux-b(%o}w3hR1{WaGt}ynIigyAy?1B=khEM_Ko$g zOT3JunUS4bGd`H;1dAtep~!J_Uz{XO*9GkUwycb5$h{ zDo@PLaKLzo!=ubso>wr8K2b07itqo#ecMF){eRqk$9V~L&NRRg=cRDJ%lWDLem|P# z!+vKP?05CsthDLJ8fSx0vXcG@iu`Qdw-b-|ePA4a0uDYu>+`XGjr*!JyA~Rq=stLl+GT|n*VFp^ zx7@l+({*7QtP7q4hU)^pmOg)st_#y(UDR*0(k9%uX?>R6Z%mhX=C$#DDxHsbJc#eR zWR3oEtmqf;yAx&aV`4s+XL-jeJ~CB%Wl#`e|e7j>2Q98&*fQ8 zek`w8f7$K&%X8JQ)j9Ji{iR#{7SBiDrMS>v5Fhso>U;b4mA*$*<%!u@f5CXzUvPh# zh68@j6@9*!{g!ax@c@TWvIY+Ly;RaK^ttsBK9^^CfJ3a$KTqaKmQYQK>ol%&U2N0Uge3|84ef^ad?dR zc%O*f&*J`lqCOG3pT+&U;topLm%nf7o32l^>iRGZ@W=Hu>=SZ*t=>ORqWRE2nFf7A z{WdFYdK`gK|D=9a)+bJuc;*ed`sDE-z8{e_>hl!QFATZ55k8k^c~GC$Ct9>ltWUJ* zIxr3D6W3|f=c(#vtMem#F3)oEV|m5uv(we*Y3kSNllheT92URD`b7SyPdP_a)~Cu7 zv$OidcvzpfPNm_1->pTT7-heuKEdMw4tO339NKk###p~}KP!AL&+-6=Sf4mu*Mn(* z1I`7&;SBXN?)(U!%d?#PSY9z47C9XLMEx2L%%|YcK-C()zK8sQgPdC`aZq_;c7_AS zLmcqj1voSarD)2}OR@7Hp1&x5phY{)qwc@me(%scnFcuGToUegIj2I=Gf{rF?*2T8=Q|VS_pr+kzpI4nJ<}jRT))Hoyp`W!Im)#51pr_j#%B@pup)p0A?s%@X}WyYvNtiT0uJxjf5*zGv5;P44=0rp%Kt z4f-C|8GY|8_0!?}2%pQdocvf`vA#Ft>hNs!Yx|G+lsfDdzs2hh@<-p3K3m!MRGyff z^*xM-eGkuh(r}n9an0*>IPiFY1NsVZI7io~&#jN}xjf4Q9M+K?o~Q04iaNIE5$Ec9 zFb!}(p9K!*sh@u5NBCTx<>bfmis3Nga5!K68V<~-;4t8DK>ol%t^<`gs5~({!$JBn z>FXcPlWF_i`b6xUisxWyb}clD`&ry~rS%Cs_fp(JnG5^n*Il2$?@XglFb(j>bMmlH zfJc2@%rySG3w~b^eS&GwC)97V(k49r(l}}5OL5|NhHyR_WIRfJg2#jSV1fFaBl?9Q zS2x1v@+=SP)B412*Cz@xPr@{)Pc$CX=SAvgtMem#F3)oEV|m5ubFZtU)dnkKcpL^7=;lO+f4h>Vw z&#A~CILP(65(kwhW@k8HJj4OdTY-Z=hg9nmc)pruXMF865^#eUrgiZ6K#w~sZa2D5Fhp< z>T|y67up3s*)}wv@VPw8gZi{S(W!M}_p>jNc@m~UeWLH8J{PE;4(CUB`d(_t$&cj~ ztIr-+p9|Hm)hF{Q_1W#}6ZxY)<+@N=pDItx&gv86VSVB`a2gJaB(8bA4hJ3&a6o+n zhnI?eq0g<4@VPw80~}&~;&PcMVH)6YB#i@y#ptCI2@2aa6o*#uI~Ax$`i9Q955c@fakivLH#oq?%T~S-YZB0`xaJYmt{UBUXf=q zc>as|eIKIr;d93b(X@Vo^GKSV^{+h|fw(>0d7+J@hZ8LH|;}%}Sf_{8!^F>t9Q09Q|w1?He8s;sf5;H!m0c z!jP+H;d6PG2m8kQ*EX#O>tC;sc@n05t>JKHxH5BJUS%vU}aeHD!Zhf#+Ej|VuQPJzSKqF)$u z>mz(F&+-5V>tD8S?0#sO%#$z;aKJtS4$IZgxbq`?F3)oEV|m4J7;`vWqkats=2LKJ zII{elgZzPm?DNX&h{_YQGaN7;;&1})+heU^@$av zk3PXPz#r=z_6b?{>i0v}k{$X4)1XhN-)5yvCzawX>l3{a&%8Fqqjb*U@gP2|59)KJ z=oi`rKW+SU&S5^6XL(Sc)+aL0m-Vwgah=SQFb(Px_@O?pS3e!jkMOxX%gK-B6|2t{ z^=s$wKJ{z$$$UzEc8lNQ{Sfj;eM)^*)~Cu7v$Oh?x*~o3JDKK5>({F!u6e!ew}b^VgwN$!9^eq`6F0~_3DW=vtSfNnS3mvEkMOxX%gK-B6~ke+!{J8t zYdA2Ug2RBr0e*mk;9iM?$`i9Q93;LH4sA3KaPa4lYJK9=h@JHb+@GZNiPO|B)+hec z^@*EEAAN#pfIs|)eL~`?-X~U*9r^^*piijZW~EKfFU48bC)P+j^9Egg@^}zmJL#c5 zUnBa3Ay+rT=khEM>eKqfBG)HwmU$AUL46`l)aNbgXRGrgJbf=UWY^@;pZpW?5wK2@HWoz*AC!|RyvmFJ0DC9Zj+4hJ3&aQG9_0}i)|eqqe5 zkMOxX%L5!@ed4t;Pr@|7;S3rF4(rs0{qw7V0lq=2PMoc_#A$o!|E%S|7e2 z+C?<2pUjBZS^wG-v3p^}&idDg=7YGx*OfZeF4n)k>iXB8l0MdfX;7D#C+uG`Z}tB5 zda^_RVjA=>_1mnpsjC!cS^s*2#51pr>!fsjWsPr@|VH#2D*`)0lR>2Q98&*fQ8ek`xpzS-;Q@J;I1_6_qXb=WO_ zi{~8Vk9~vq()NwY6SK2@gYj_Rh<#=Mdb7keub2InaNzL(hqFizIJ`yl3w>^VgwN$! z9^hd8%l3`k4-LpX{JWskhi*f(v_JWEJK*r=>ZjlN5k8k^Ir*`?VmP$4%YL=<=3CXT z;lO+f4g(GcpY%|l zZxj8(kgFTvb9t5r^=W;gN7v8##9zrg3DclH&!chF=SKCj)%g)VmuETovAkmSx!l#~ z9qQNWllheT9Cr1I{868XFReaRo|v80C&t72oXvbC4sWM%;4tcN;PC*53rP<+yhHR0 zV{Uzf&*fPj;1KH*n`EAZX@J9vXdF1aQ~iuPKf>qoEGIvfR}6>s4u`*1zlHKPcRMog!*k(+H?WWS6QEbL*uB=HpZiL9pmvJ zzDr0C_4&7=UuYNnO6LjYb9t5r^=W-#o35YriMwTjKDR!?=khEMaESGZ_sTp8(*TFLG!7izr+)gKAK~eHsUasnmRAgi zF^9wZ)vw{edE-92zteaz3+{)QPl;FL znasuPud<)e`fwk5m}publKQH&v;MU=VkdQ0X=nYbUGwq%>r#y~c0crG*T1&tIxr3D z@+CAL_Al^Q?`r+)1Eh!k#Wd(&>bF^Gli*#sZ$2pT%o}w3hR1{W7LgwI&4)z4Fy!i4 z_*|al!M?HnHAmOa`q!Y$lQ0eT%|aT-zPU&JY;}Hw&*fQ8ek`xpzFF$(@WblY_6_qX zbvW$y4f4mnL40ZZM&*gw*}lPexNl@1RpRjX64$&@hXaoXI4mYT;4mcmg)z52!sqfV z4{)&lW&6hNhdv_nBuoPwE~jze@KN7h?B4f=%oZC2VOeW$WMKPK_aYhyf0*H<17;(Iyip*}w@ z`h|AEuXN5~K9^^CP@jt^3%s9m$n}YBGEc%ZsL!P|j{5wB`sr|fgwN$!PJS$}Sbgqv z_4!HlYxT)|N_}>V-{Lt3`J+A&Us`>tJTW_~PmG84xq$gf9R7*Mao+4@za<=aJiy^9 z(gP0nihiNbt&i}zJj(+dVtwMDWuAm-fWs?k95`%OKmE>+@VPw8$&cj~!(q3>;Zy3@ za9}>=&6KJikXr_#>)#NJ4skiN#a)68`| zOZdK<2VI}oK^o{2OauIv(RkP=z$33}ed0dSL!V$8^a=Ibth7n`X=QzWTH={E$as|M zlgESjR*)X*^Z$u{VaU~u@VPw8gZf-VS)fleo+0aQ=ZX7eo`h*opV!bh>hoXJ&sOJ0 zc=}#y$jOi86|2v7^=r@dKBIoEKABIc&tdUf>=Vcz^@;e>>Qm*3*;##JJgmZpH%%|YcaCG^30{H_6#FvJH$`i9Q955c@@G|Br_dB%k+@v1= zL-#%Y^HFl0i0}6=>3*NpaNe9uX3G7TB_VnRW>daiX#>&2Y5XvvR}#$5KV|)(|_wS@LgwRmepgN{xDv+X~h*7@9%!mrJ(1p zy#9R9?hBT^67=F%UVJOR*Y^nq0?SFVISLlpDfvbLA~^sl~t6~?b! z*3|`i)~rld=y&CUE0<$@$-HHAL04ZlZwct_FTdtO(Cf~hvk-J;S7ssX7OCG;)GztT zK)!b6y0xIIR%h0R^WT0+7tcR`(dzk-Uw?J?QqcUu+ph+lb?K`)t{3MeE}Ne&FZ5Se zk=ISP-pG00yy{xc&-_Chd7oQY+>Ln`TzY*E=+f(!asGYzd2_&@b7}q+pp?%m!hC33 z(O>QUc)MikK94$qoyFnTLHG0Lr>Wj#angNP^i!&z8Mh&izTV7jw%!!SSJ(~F-^jlE z^j@LKlS1#)@%w(K{8h9ej;DHsT|?$DY;UHs@jt{HrES3c(@nbzOA3_N_GK@-3^;CI z(v#_?{5YSuY4elEXc@g)}}SGg6};iz7y9I>DVN>Uhk}>+R5Q zke9dH8?iHeo5$PbNC3YkH)?i9yh0RA*x5YZZg#}Z^ke>ds@R#m_qSB- zOm6dg>h1cf*x7vEZe7IA^v$og+fc>M?7iJ)XJ>lm$Edd(QoB_*t+^HZbZyr%oGZ4k zym1ZHvFumud)q%o{XY5=nizUJbUrFy`m5~QHeT|Vxpgx8^#$r@_hkLd+&@1VT1dMd zO_a~-Ti33^dfmDg55O@#Rd zatI#t(Rvs4*etNq`Llhp$*_1HpE ztcUprAFhY(vmRX!(}TZ>)&uikURw{;H`ZgTjOUrB^}zjGTHS6Fy)G8hzOi~mU6Fpa zPvb!x^;)M!A!oH{Oz zzlF5IdIDa+2d__Jf0>@ulkGoN3vje~t)9@|u|CtpU!HkdAKcHT#nqy5AussdLNtua zt~a|hF4IH(qt0V-*}N7P`YGaS)3}(YxK2`k&m)TXbUxCO>%=;u6xXah?EmNl&!5xu z*3&5T=wTAkqmvV%mNMT4)oWC}R;Z=uZK6@k*Q$DmGfi)^>UFB#$q~IRsy9dVPKoFZ zsoo;hYm4Y@Q@!P?cWOir`xbeuQN7b5dOKBbz3M$bqBo*?n^dnoqKEp0-z}=A&yg$e zeOUFjsUDu=rtRBy!XNvG-BJCkUXizbYkkk3bD*ELZ{>VYzY+JTY4|Qzzvh1nX@&bE z2Q!LigyYZg^$~HtoJP@iZC|rmuy1W%+aI_-V}JCEzdZA_KhQVR)?a6QnEu^wZ(9;Rn~EVdptudN6A4%XvdT@U7I zJuEJrhosUcc2tQA`6I3zH7=^lY^`zGycQSg1#t~)T+9b?2>^A)xJIhP1)LFA`y}JC zc`YvBhPWQmxR|H7X2|@N`%dGULvpOg7NQ}Jnn$)r<1#&Bxt?M;KCJUvTsU7Lu2GGP z`5-Qj<5-oru#d4`^e~0vox?0LH1kv(R^d@QHeomro#^|#o5K8CDl z-j!@l^R45&8WWsH;LmuS$Hp&HJ4uJ^R6m(bc40g`PI0h)5DyH|=X`%zNh?|{h|)>J?MsG3r!gMRlN$o*k@@tK|jr}obT&5K3Dy2BTDZ-DEeLG{Hk7s z-&nrTPxFiV!a5&E|6-lJ-wn>M>Q(rS?Gxyy`Gr^fp}(x7_i@O6uix0f`+3@J$b&2s z)cLFoeIGi}wEAnM@$j6YdNs!f{j~Vx{9eBi=j1fMea^4y)$AAgX?{_czys&*G{3uO zJj_@1YW55LG{2}z_{BLs&2Kva68crW3cs?}^H1) zJN*m4zOVc1iRxAOjpYmdG{3Dh4!@_j@Na#-_48rL(F@x&dWT_i~ArNpCRLoyiQpE9jeE2(vx#f-2YC| zr}_{a9%R0h*9(b<|6$R~Gf(q&%J>W!FZmx)J(iPR?D?VLl_Maf4*r!a>GdM!Oa8mW z5C6^+)|GjhSI!Udys+=XdF44>Y2KMSZ>#9#nWuSAqH)w8>H>A9agvtYms{M+i}Sw; zdRckSQJOzbqgb~-(aSSW^V|J?Y~CR^uRJd(%{xoy-KO(0AI#f8a?}C-4c{NX!_6!A z`=xo$Bz?rYQ|Dzqm{%G|<-8+qUb$Z{&3l&4yG!R~p5~3+$J_co?B%6;l zUgm>&W9u;H=9T;9(!8^E-aR@m^E5B|UMuxI^tZ6@)iYmb@&t8+y7B%oN_b>do_!}7 z;yxea^p27#;TI-JO#%ClRm_{;G+(&r;|J*6Hi^Fw`3 z@A+Li2XGYd-#QYAKOt~M(pn;d*F$EfOD1bR_}G$l{Ahxhn=4Am3rpS>ILh7 z`L`+c_46Vc$Nbp0*suIPhHU*Y{J!PG>Nnzj%0~q6Yp}j!?^D>L_}Y1RC(VNSt|E#) z$u#)9$Q3kB{eis7KA(#JAv~6IBdDu*-JiuI-%bC6KJZbZm>=Wl6LOxe%P!@>>aufa z9D3`N5~07k>^_at+snDQ(tbTzmHG}n@1ywA{LA^a(td-ppAxZeB|XIFI z_9sQ`H#_@dBK9Xo?6)}k=SA#KiP)nrhVh>gv2Tmm&>~Y_d<{#&~F#Zc7_U#e-UCy4~l%EW)5B9mNQ2Dv1 zb7-9WJ`d|i{VRbU{9~Lb^-BEJWmu2;i}DHTxsUqG1tPy2_iv6)1Y51rg6;oB1}W^n;&rDxlz}%__{zN$#K0|M4mA}t}~cl={sSMxU~QAdFU$g zbEJ&F9d%8gD|-j(lRjVf4%AsAQPj&cqQL!lqGu4Le)e}3w3BEzQQRNQC(7|OB=|WZ`m5hKhiN5Fuaxn+A<74N**WNlDUu)7`&*PB zt~dC+8uRpg=LMQSo6|q9q5rTR1sErzs>0zBNba662L7a1+LYzw|PU%PW8!yv&vO)*ss`U3w54aG^n-+G|_b_e<&)>p1ewfC{d$O`L=eIBka^Ap5-CEV?2{m!O%t@Usb?MTFX3E745GCx7QFIPYNQx9}KxkH{u)Nj;2aQCxizG8p4RN`4QM3nA- z`1AC*e(UwIj^z~J679q2SGX?vzQW_Acd_Q#Bl8xoFU(JnC+<7;7ncso6W2@B(bY`r zD)K~sq;-$x$?_mi^trS=d7Si))I47-^A_`Deu6xgX?**GC!H7Wz(%)dxN&?CJggg*2kVLc z8LlVKOL873YITGDhyC$V(Z8H|dQOFYhB!8mALPYy8n^Qc%Tw~Q^UJklzrXd>LhIhe z_VpWA>O6kk(FZ45cb2Ebcb(4X*F9PD#iI`Y@4sx>@>uRUQ;D8-%6Uh#D*dzNl^vTt za_*Er$t;?E`Nks~UzK^~S8qC@<&i&2?)=H|4;=O7m1jS&C3D=s#XZkD{P?;DcRt#5 z-}jcZkN(v~H`E>1QUBAOubKAjlfTn2{})SUHPT!!U3%i8Q{hPY?ePh{e|1$Lb z_y215l5f_%@q)wd`Ty))d0fru_rIUY?GxRkn_CeoMM&Btgv!mmWhZ10Av74Wj-?^Q zVC>siLPJc0nK4<$jAn$!GM2Gq9ZP7)GPY@q;rE zc+J=D9aY0Oi(wlQC)@kRn71=~S_6ux)8wdIFsH-BT0uRU_U^hff0}jmusRYo zxsBUhl_pZGlTyve{*aaVy;Yh^FsI}9emK01Kd7~_(Sa{&$1PU%{P@7;Q^!NinoTSF zX@@JG=aN+Er^}^;Y09p5YgVtOS>|o)&Yzz-yLXK0(Dic1+%-@3Uy8cs?Y;WqMYE@! zR6e~fUfoln!f#W>?oBJcaO^+M+-~VFBf!$pCZ~S_?^@od`}w6o-+M1wll8RCF0&kK zo6|?8AFK4Z{GxM8vt`q!0{Ztne^~^&cf#G)o&6+X_ex=Jn9j#+@|=-56(VClv*_P6 z<-W>#WJqJnE6e|^-o!b_>Yfgk4$Nux32F^9Ff9vz%u+p7MyZ69Kd-i!> z`m&Gr=HGSWDlKcg#H#ZArZZ;R>Jvj(%(43+%r$Mn%t13l-WzLsxvb?c^(&_K$T@De zeX-KITRc2~RlKb6=R{a#<5`cGM?34*__)KEn)P<4Y_;_1tLf!7qM2RQVaiPp8e4(x zhg0qGA+F~pk3-IOXKU0haGB|GJoA=oxa z!*Z$(UFmbTZI|DAcasp%?G8wQjtozr3Cm1u?4cdOiXowxi#txZ-cr)717Ipe%moQ65O+t=8;Ak1^e>^jXm zR-feMd%KO>Rf}I@o>}=ebq~9?y=jFHMk=2N4vdF6p=TYT<@2>?hYnvgv$N@^O!duof;qp?v z`os?SanQE*wJTUHoK<^pTJTEslkQ4~?|!}pbILW@@dL~mRJ}&h-ba6K*ik3yS{>^q zz6ZPhwy@d56Sb^d%6N6^c%WYS&aujR+8&`W=Rp|@e8p2<%+>+8o~jRnK;-QSQPQ-W6+}KjeGs>$)S) zelvDei$D5VrET=P@Il>;<*(gV_TT#A3Czi9JNrJ^$$8+mI4L*s)P|blp7{ULw(j$U z5N+$24RySa9>}rkU>`76Td`-wK@sBRrELc|fB)RP*U^e+ps-`#Z;ekKKeh7xJ^Wyg z+gX7N&eZSszP`zt&d*zkH|AQ!j}BZoa8tjE>FdPgI(64OU)yXRgKWdxoVLl>_Ob`Q zixYFpp4t#N?n#4R+Ug4u6d}}ZP-*NoENZt=Y3w#GYB#tvcAFHn+q5)xn-RO(z>p1f zR3{WKmQ7yx`!z+xj*$JMGFy~s^w?}>^X*=Z&s9vW*vF~Nn7Pgo!|pz>cB6Ae^{{id zYkgMV@4g0LV>O|OB(E4CT6MN8_*2l?a%-q?a_3@3)n&8n(FWyebSk~%Z z>-)QJj_h)&zvBM>&~?2Z6)2Y2e69E?XUx3uCxtb8%P;)n%lV@7RNH5@%5Bs<>fdj{ z75{Gn%1-^+VR*w!i{KU@GhAbFI=lC*TEaX<`F>X4trmYC876p?b3A9hI=-&$z8#Ir zwyN&%QT@K%LEGm$O}W8ATT_|_6@2+|IkypMwdyRIcX)O=#dDYDpV$n$b6{&sEk&KG zHJ&Lu{^2;tU)ZpvLiyVXY1SjEg;l;^K4a{aq;69uxJ<4H6}PX&7h`N{UU#ss?byn* z^+y-`2v4U~us*8|x(wYsWe|o40A?JkZG} zweF8+#Ep-&0Uc^bZm#*+#DT)q`xO&je1Bi%7F#K@o9)KBk56l73GWBnO*q(MvZ_aX zz{X3OgpNmpPIVQ=l~MoMC1{N5i#ve{>ou*v>v?C|*yL6Ihrm>sFE2N?x#;1rDco`4 z&$|MrZ74TZ^NHBGmc8$yKf0>7T83}$>(O!D_cd0>cpsnNLa4IkV#LV~y4LmEoV(<3 zvTy!`GXo}w(Y-XMbHD1MHosn}y{E>)`ip(@8dM9os&A=Q1cfzl)K&UKw<*Z!!`vfT zp$iiQ)qJ~eZ9AH)tUnL?lypmtqV`1k>^}RZA8LDqyG&=W4vtqkz3+>ibSNoL% z7t3!PImD)1J%`hIjvXx0BTo+$-uvdT_+fSX)(wN^s&{`7k-Ofb>yG%*5re(cgIi=C z`X(h}%0ivarA@+|%$%yB-wGoYqPRx$%WqXJ)u(=~RCk(Y|Ao}OnNO=VeXPIP{H$MC z%dd48TIu#^BSLn^y4PO5SV;NaZg8b~TU1BK2kzXinfZfl%`&ONupIS*>6`kfwoD0{ z>8F{ucD82Wr&n98y9h2;x=?+yjnK$p>`upNHpg$-Z?ms(r>yu0xM&k*`FW+p(;B-I zId+0;wK~cAj479DhTXiOvu>fw{55%L*`&5x_x-lpR$RWYx_?E> zpqI(2YzOCCv9=!^^c!2fvtahjE-WS8TJ>9pfDamIzI?GccjFpC*H>M^eQ|{9Jt6Q* z8%^!L-gUpJ*7x3O_=H_?F4}R4%{PBIoS*9W`Sy_QmY-;*%JSmCdMU>eyz^Zuc~e zhIHT7#Hw0eIsKgP2IhuoFYDZ&>zcRRzPHkf?jza`77hp7oj>BTU*&fwSoe)4p~-=q zpF9*__?)pbmGepYzM?JBmA1 zHBZEzKiYq^sCNhTkKeXApXKpY*7y3wiQa?fx8A799?)vfW8LQ++n@W&*)J#m{3XQ! zF|a^Wf67-4)uOrc9Z$_V%d_KN%&&H8hQ8&*ayemh9Cdr<>5feqQ&aJ$gLdRiLG{uu z?GMYt;cCJJ-FV`KfW$y#xNL7Trdle4{~BSj!8#pm>I_eI-_~7w~3e9%h7Q_7QC)BUoT75e*@L-1Xpc^rrW_9ye<^k z?G_&o*-;H#)ZbR@wegK}NchCDMboQygpn;=va5;T#M)2~b9pbsviq~Wd;K;wZn{>y{E=qop7&0u@6D|``>E53tG`y&TyyKX zOTT#W-A>`R59p4Kj{NP$ny5+Ty?4CG5q@^CYd3N3CDpl*;3pL|z0ZspJbHxCX|LM< z$+z8A*Q*EguA%uo|7GT;A3~aC+yoc9jDPZ-&44iu<%T-$9rXSp#jLK|np73@Kd@i8 z_w;r3z)w5)w($@K-(K(T=RIO~`??zkOwx9lq^tH<#KY*%6EhFCi{Eltyfi{H!)w_| z^{y|fJ~DUm%ox|FVr91hwe&Gdb`K6;?5_)SjqI~x<>zzfo^+nuF5W_Xak=B?zt_I> zsGHx`2g2b66;F6A+pqfOdzVY*>hs%cgbn>&ICam?boY!hLWZepX|0BVr zp1SYDt=_65BLX)BXa?>pXn3(hg`m`h0Ap+&>vWr^(GJ#29S{BCve543=&M=Q;)E#s zMJ-ZBssqldT7vdOUx5PCRC*Nt@Uw zQ(bGd%j0KGJ!^OlupH_ZF;>4ksdjkyfnw#^JW!|ZKPQouryQS|vJ)!C} zBQW4SO`C25KO5gqSg=*y@(@n%HPPcbd9ntvQF5Qc1A=l4* z+vmucr4!Da6gz&c84xwIhk8>xmzQ=<_csXdeR9!lMtlAHj+;LUU+$*+%{S6%iQVAs z5nn8F@evxVuya`dS3}h;%it;lG$->mOVBUaF?qnqIQK}0FE2F`uh-WM>s>KlJ+P~b!(69fab>5~>ESlFoBnQE z?3D2Lztg?{GSY6+y4$%Gej7QU`qf6FOJJ9X@pV?zt#xMp4x#$hiaqRF)K&Fv<+kFI z{ib$~Wu_+yU60%SS*CFp)$BU~n^H8(rj`$U{Fo7wRW+(eUnt>6N2YO zYpfNm%b#`?`b<+#>O5qaYWmPd)(<{l$ zX?9Y~`lg#rYOP;?beKObOW5*D#VOC$rKlVixc}PFZmGkA(dBjvGlJ}@B~O^CdRabT z=`GFh2UA41ob7*`!^eWIbczi>I|v^AmhGQ*qMdh*#ohaMSX8_D`@Xt~<@J{)MPFN# zS8aKZS>m5fG&e5qc&u*qv-?Ib$AQg1s_!4=YH>l|c3WFf`@OSn+^L9#KkdGDvdX9d z>vs!Qes&LCKDAU0yB4^#sb+jkZ85!z@cT8jW4&^Js6G?}$IsJjp6hX=+?pXb6k3RM z{r1O2o5mF#PPBBa640$phG*kX9Mi-voa`f3jQLL8W^&-PeCOt&#~j8D_efjQ{o`k? zQyX2ssOx#A+1dODKUBK*(07`JIOw=$zvZ_B)VYt`Yt?X^b*IBOYj?T2o9V;dZh30k zYIKVxL_~&omd`n5?l-lcV4h;vO;Jasni3h@W}7B&PT3P1_6xm#P%rVg*n~T4!R{t=n$6&3; z?qfX*y3X`or|Mqw{Jt&CtrK*q(fV-nF=OXo&;h%O?4O$oY zS5wW!0d4!P{q_5gx7-65m;UUs!KU?J4&NMc+!6M`-Eqp#zH5}?!QbqwSGkm}zF9G< zRtt}h?&!ZMztj5%$6g+@Z~dfgJ6gARLPWce>1BuakJi;2D()YzQGMR%ka|Jm8qL=_ zxvVNUp;Ei$r|Ex~JO4_!$9!GWvXNJ6Cw;nUN@uHvb;mVuM`3|(s6_SC z88^qM+TRRJn5YTqHRsN>QPW!A#Wz$p_FleWb7H^4ICMjGdHc=o8}5wKgp1vOw7B3hhly&!FIiMW19}TIYA-kgi3Z_=1;gVBwbAErK$MqS0AZu zs@M3?)5${Eqi)r}?Qy=o$JL#a|BguyKTp(q8qA|;1owq z8T0ea(maK)?bJ={#C@a6@NQJ*k*3=&*A)@NT3_vlels3@ZZKx+5Sznp4yOYgduL6E zJU!U@+kuD0P;dLzH|n=h5B{Kc?iP=zf!jvU9O#{XrPtB2LsGO;R_h`kM5N78oUXcR z+xP3y4b=k8N!K4N)%LkH>UMR?uAHzp^G`Q_cYUMg4$Z<_4$_^O9T~5UXdRa8c4$$! zFyZ?On;q(nu-?Br?7i*f&mF7sFfsq!CHG_CO~UnqUN-tDhhKX*F45(Up7D(k>QZ66 zg;!haXM=4Q?kFGhz{dJpmDNu*@l)WI{tfHdY#QS5Y@Oq08-n@-T?)8#ctt>pIPsI1 z`CDsO7(UOYT(B@Vy5h{_%89BO%RMV3s%?{}%&pTzm>p@iA?^AUm1RbN?O2VOxbEXx z^@R0<)x9?N`&^}L6KGbTakENU)!U(NOq?w^_N7BwjLlaI9HRUkBlb8SzC2;irI<{y z;cELM*Qy7q%RURgztbbva>vBq&U)9q)#t*9a<1B$$+~CrBDPK++kef94ZHSzD4Hi} z`iAbeR>wzqruTBX+|R!0;k#~AwfZLdVIPMd8mh~D9BI8jth>*ZT2I|Qgbxnco!q!( zlAzhbvoyTPJ>B*{BSZZb z)_a<~@VDSN;m^u;m-cpjqFQ$}IQ$1q$Irh$-)oYPGf|y;>A)FP$H$F2_SDqxarTJ2 zVyVMX^vYv};@0PX?6*lh=uo|{qu*y6_IzOZ>po_`74zhkx5l_s#Xlj4yAwO!r$fvFVJM$zt%(*lB;(Y3Dnq(y58U2jLYjb?G)r zweV=|cHi5pR`xu0*+s}%YRQqL3`tDO#-4eCs505|8 zalsacyvGIgUooTFsPOC`b+?ithaa3X_Hg*ER%cI(Vf!_cJ5_3}ULH}q;KNF5mM8|# zO0T}Uo&K8DiUloJf1&$OXz4iLywXo0Gp!r?3aKC2)!hATvubIBVCO!Xeap5@748Xc zW7WDTkGxc6yENSwr|~J1+~abY0DZxHi1c?hgC5wdUF|U8p=0)zGS}PuwJmFHJF(6J z``qg5+|}xn112~0$g4W~?)3}aWoq>A7Gq}>?qsEVIx}*pX7HdL-D`e!ev`PcjAm%G z#Y*+0=-NqZD)oL}rRg4D{o|hc=Q$Inwz#%c7kaa0#k(iZPw*V{(lc1t-OVoZv+3Ja zU5*D=sG_lpUOTs1GhzQAb>o%(hgFt!8rh|3T*W1G8rthS2jRbE{c6NcADe9p9r{*x zthaaEUvn#cyL0$FvC$g)XAi^ctA`yKxc9QhjlLV}K0M=H`Sf~&%)~9VxUP?PT>!q+f#_o|- z#!^-H>j5Y0YCNONTL+gBo*q`0+uN)_b)fQ~+NssnAO8C5vP;H}3c%0jhKD5v+xWF} z2(9es+$7@ilv<8>8YC9Jh-Qe=JM=eKRkUTbl#{|j=B}CiqOPgoKWqUc5?I0 z&qnRdzX8SYvhCx0%09`%WvK`q^F`eCC3>gU5SAT6=8jJ?IbT z72XdU4)I>o@r713QMV&I;(fElo*~+fQJ?e@XS}be=Ttda{h^cB$V5$@xvj3Yb*%Q2 zv;O*#DL;f&+@`DVq>HL_V9Q{)pA~~93ZJ&IYj*qn&s5dx1+}}aQF>iDrl=z{@>Cz0 zstZtQFLt)LSS=)C<|hY6?Tu(P6I^^aZHw0C#7T#V^&O92o_p=tjpuC#VVYbUf?dKJAyUijtt*hjbv9j5P_Gx0xDjK(+_j#&q z?7gN%YjnbNhx-ZD_MOo;j#nKEyB??OxSrzu?kE27nf(f7Htb$8g0e!9m2x)ukn4m|B6RXtkiZ8=#pcwD!|-d(GmD64n=eAK0|K5cag_jF-HH{LH;F!ImQ zLxgVi?6RMfiBk2=323oeGZ6Xk?&n4fO6)O$Xa5Y=D*bW&wRHzIvIMBQOQ^u6$7hK(44 zzV|UpfhhVu&n))+?FoJH_e^Gi7K{&V(GP!5eN1p*3(#*CJa9Zv?Vw2fJ@$>7^g@5_ zs?6%Lolx2Dy$3O@ zKR({m;F;ul+=yjQ6>uA!segYRd}8ZoFJ z#)oh!fM@m^g?5DR>C9@=VjzxN^nl(Nf1H102#hyu;ol7?!qW}n!0eHiQIy;s)Cr2i z0jLwmGxH;%|5*6G;;cc#6zH9B$}IRZQqZ#}bl;%<{jvWFL?J$=@&t7%wNqF>_0L_mDZNAKZAyQoG>_6-l-{KD2Bo=_UZ?aLrB^AvLg_D*UZ(UCr57o^ zK(bCwfLGA4~oUPAOeqN4=d2Bs?UY^W6rqAs1^9oGNWApiW zVi}SLCTEn{VU(ZeNary*Kkwh-;XQs(N1hyx|CL|NpAgO;7LU?WhU0Z9^Cz0i*XyoV zTK{={=Ff1M`0#%5^Ld`vm*eH;F@KV|_%MBbJvPp$DLK<))RaE+Cx!YGJBplNw4qVx z72e8>-d!T#{wO0#uh63VlZ>|Qn#28FhBh4E-(@g8A4OEC8I03;zLam?s%>-7Z>eb8 z9ONCsJEB*6qxBRW!=?JY+jfHc$P5Lda9!*e6^i*z9Tibv7y5x2x}L!sr=%3MA@oDe zy>M>RAr7vSJ1HJ||C8Yxi0_{>=>2sDy}!<&_g5M8{tDYMNAuN6vdOc9a<-69&Bpv^Np}?S}+F6^H^3IeGO#@8NmHHYFa2)9U--imX`F2>Nu9iI{C#|VaQuCIebLcQ znNi65VBSsP<5QaW`}zbJ@W4LlM*U;;061ZvFg}jMlTboDZ!Z1~4gNy>x0>+xJaNL7|!3s68dX8{zc=C@__e6Y5R|_U(xs&b&jk4m;@t-PZ5!1)0%#jW?}$>J69O6(sgp432eXIVEOJg*$obu) zgnqvw?zq3ae%yl${zKeXnecxR=l{+U`v1n`Ucd0X3H$S@3BQ+fe%o4?tX_=79iR7E zU-v;jP&bD2KkfVIyjiOAzHfc*yh;6&tD_Yho`e$OdE7lrAFBTveIMUPUW zL&oc#-3OQYzNmLmdk^o|I0iFD)s$W zP|-Yum49_z?dI_Gp!%lj%19pYc_=*Z&!L}~@Ov-k_x2L{Z6pt@ z5Bc@2mqK6t(gdCZ9G)X3#Pg=>-_NIL9?GF#aDsY}%fm6wZ+EJ1YTuSR5410pwh#IF z6wSj>6L^kuc#=zq$4DOV=OZ0@N8o;hZp+$~=6=%8xA0sF=ZXC${DyBVk={36DWTs+ z^1%Ag-?|_6^DR7wg8!~|udbsrod3a8-&7sF`M4LJL&5KHCj7p@`Ms!wejAB9?L*xC z7Tn|>5z;lbkv%Q3PjO2klA3}}jb)FCTNuJAr-w#dr{Tt`EHTnhClAI@uoCN9g9*QX=lsqrq2EU0ZuI#OzYj`X{{cm> z!)4JI{k>{G7jSsoslKWG{KoSTSa?4GdFX4x?=r|i>2-KQ3H_GK!<)V@^9wAzKL9)j zOyGgnK1=ajDIp#sd5DJo)An`yP+-yhP*wBl`hoBNk@_7(^-a}}Y#!)yQuf@G<%NAt zQ`+aHet|{z!xR&EtT{X@ONi%<=b=I2xfJT>ya~T6aDG27q2IE3@Rd0qz2<$IUxUJP zD&T4A@anp<dCLUmt>s=HZzMJkA^* zd&iQUGvxBn1~sPFd0ylfRCvDt&n-GqKjh9A@P#wdz7$_Vzh(1axIZZR9F_h4N@<^; z`ZX*(cf)?jFoDO7!*jfZc;0v(8Wui3g*=pZdi6f8$@%R`_0e#V{IEPSDw_xTdlLLU zc-`mNehrI0KOJELk0*y`b_wyk={)%R6wSk76Mp-0e&?3ZZ@E0Mx-U-2{wQr<^7kp4 z2k%O+t}8zdkDltAsw*RTz@O`MY*o77WAyheJg>q&m}!mG z%Eq6@xmX{fc_@ASi=IdNVD;odK)IYg;(sOu*mk^I^{5zDg|M(Z4OQHThGvP0MEu6IfWR=k0SHz$E z{vtaU(Yj~%ZKZvF>hE9lTxwJ0)%_nmnV+x_kO4=8#bJzxS4`lFE|alTSQJZ~)i^^2Z=Dx>#Fyy`huKhEC(s&A@Z zUtW?;b}+pP1Vzzi+|DU??)#59me^aT0(zc5r6jk z>qcp*&p+IAx_c(@4CnBOE+sopn2JCAeH7Gle@X{ZI*8Jtl)6xwKq=E7$&E9;essJK zrA&{_V|oLqd>Ex8C}nasKbDR&%H(YRC@N?EGW*P5hKJc=4z$5T1W4~sJz#`ES;f0A8Dd{{o2UA7+M zSw5H^nugb5@n>?TKb*?>aW;?n$@0eX%hqFc!zjbS^q3uF2K$js@uVOFkZ<;PtSsNA zcwWzxzMPzmvwUY#e^@+O9vEeDV0akM;=tmAmU$!j&Y}LWJTkk?KgP4XF+FCV`NiZ+ z|9_S52NVyVKT|x*6VqdqA1^7-@@?x%>W{^f<(W~IUlupUv$($Le0x%VSRR>O<{#r( zKAGNsHQyl=PhSPu53Dcz*RFFNsehd)4WrbBQr5Rw+*#iHQ8~+tY<&o%&SGdh{%-xg z?tC_n)qgVeht(0o$MVH+vA*7i;w>?5E`Dr2i_@#FdrEXJK!5CjuMa7lpUf||9^;w+ z437)7&-N>mGyVTnAIzk9SUwO;e7!J5OR6uY$Hp0Db#R4?FN@QEtquw}KUp6Czt(}H z+v}ZwSF zb)B3?<55z3Z2y<^x)NkY_T5fuht>Q4_c}WMFU9S_TNXF5deJ_N$^&1IS)XK-jmuG{ zXNvOknLg{op4Evz|8)I_P&;o+{YO(geQEywt9>+-`p5FCrMwHJZ>o=urSahF?ce5E zU8higN{aur`}$i}*T?^*xIK8w;wHKq@9Y0m{hQPM%P6zM>fe+4^H0}*2(|Op^mU4- zFOBbiwXd^&Uef%s`>UZ8S3gSGIh37e+4Bpght$ybAfWe4qXL8H=VNJnSl#`vD68`{ z>Q8CoQJQ`apBtr7{F!fAz32SP@hf=C;%DnY_Qk)o4_KdPl-Xtb!H4?uZ|w&?wfDC4 z{}_s=FYS;0DgCc~j=-LabfEsZQ2KYz^Jp1-KYE4syF?lfR%ib!%IZ6f`eO=zY5F@{ z-y9JzxV~l5_1~6y&iRMqRq&R@%eIE`e$M(WqyO|iVD;`p{rRWrT~F74Tk1WA;`y)l zZ+3k)mDkrjx4Q_WjuUA-Sl#}wD68i*>Q71Wm!^-y=eX>7d*)kK*E#=E+zQ^ZxY^bu z`+%=|zJK%bH_fy9_o4p$)Ag^XcHWx4PVw}m=hOa_4y2SlzZgn+7fRXl4W`e>gX#67 z`mEnDJvNW&4WN3%C}qE|!sO9({2%Y@G#-2%|JyvP>on?5N%6Bdv%H!5{TxGIr*>F= zSbo`hjAz$PrpNNb^2X#$|9|!SIXPS&OWJozn`gEzo5%Akj|Kly9&J5IUuOAXdHDaD zM^B1}#g|bQXGYoh-=%ClM%j8Sk9z9QKfNDg-m*N7rFi<%KF<38K+3az^Wvr7o1R`=-~u4zcH1J7wyd)!jcuS$#9AN4Vhg zf-BS?HqPw-(>$}oDBEuZ)Sr^(qcqn+>GL()+HcwUo#J8f{I@Bq7gkq#>JJ;|{bA#B zl-HNz`T4vatE(95&p%yPW8bp6n)ff)m0UhqU2Ws)is|!t|EGCYSK0qkU0r$0>Z*X^ z=}WJ#|6k4pZ~FHY*tx*54!Iuv({;ChY{aY>{ zuX`>SPVKxc_fyILqq^ejfYnu+iMnF*7-e-ON12`}%FkzYmH98#RnA*hR}cQ>y5jT4 z^3CeX*6Z)}Pqsc=_jP$zSDw_Lf4X0VP&;o+zlx@K`u@ky1+A!m|Mc^Mu{0j6F8?jc z>Yq`z&r+yAY@GS?PxH(Uqimn;r2dpNAFq2ZI8N=nE&D8w;$iXpw<)U^R##$O(!bd_ z?++W7qrAQx&(G)eSY5eLfBxyZ3Zi!2mbz+3@$hl|r+7Y}jAwN<+(cddQ-1O5vbswC zm+C6zEvu`Y6i-6`kqPi#%)b3&(R((P8U5mi;O{LM-had(;D^O32EqF>L$z8g@7O5} z(>CY}!a>fy50n3%#x`gG*LUcR&+jakHt=s(#Cb74PH zc==N8kJQmRX2ME4tgmGBA#7NMnG*g53ZJha{jxA0cnf5Z@#ymkgC3qHnMc=GmO--w zg<5Ha=wC0@%7x|0eA*8T^U*N+DYR>9K7CGOm``ylUFbTD2fx5B3P%z9bpIRX<7vn~ z^MlO?YHq}T`d&lBe5TLOm$OgbBUo%c`kE2+V+LPygsI$o`hKZm^Km*$FrWVZTe0~N zr;^yGf4^C5zH15R)4zW#Hosg6=hMF@DmEXz4i){FjvxK|oMQ8#PD?VM?n9*wy0E~0 zz|)XjcU17eXQ6=?FGYVkE~FA3zFq?Tp#6#dBbDcJaxEu!C%j>P5+{%4GuI1$JgfFzu$zwTr7AH^TXnMJe8Bf`Jjk>POjzT?u0M2&&gvsc@`&6 z<>VHq(HF7L$+eu^o$!VBIe9E6&*J2%oZP~iv(L%3oZOx8h4wjlEGN(6EW4feuPV^3Tb&oZOx8 zh4wjlEGN(6; z2Y&<`{g?@Egcj37Z4u*U^C=|@q4QQWx^BaL2)caYb2=D+^E&Wwj>AMk>3T{_)NjB) z(4V3Z1n>jmfq4r-sE#L^74yfQ==t*gpvwpPF;k8$r9X5%gFmn?_=CQ72K$3~fQyV*P*OjYA|3an`b>TT@t{xj%#i!&$4r?*ajM6VbQGF}`<2-RnD9tZO?yOcPX9}EYq12|d{ zd;XY5IBFu^7>;&CuNV$8UK~d>)n{@Z2Pt4P<%3e5#1eb{m`6BjA>J5{ zIHIQ%5rw)+K~!2NFdyo~5d{R~Ob_z>sybooGQR*H#25Z<0QMi|kzchDZ_KZ;M9*L^ z1@Th9zz^^%q?CTKb(vqVpTVyrM8Pl2Bfsjx@<#SyA{s?;2!Q@!rmzs|A!r;3K0qkLnGldcHDx%cx!=)$^0lOQCx6s2;g7E#6Pks9p-ygZ*m^$2O|Bjq25x z(c4M&GO1pmj9wO?WkTe89lV=a9zbwy$~5axDG)5B~ram89iI7 zw~XqA$>=#!y=_#FT}O6eUQ|LnV1J5;!hWI@wmbSU6VS0&VS%1YGW~Jr94Oh(w)^METHqPQRX0@j50ioLS{kkLMfYngVrU~KkTPudJe}tx<0}F zfPGPbpYNL+&TEhls8jG8s3%<)vxtZ5HjK|B>nY37^YQO=9TzHRl&upWv(6%J9f+&5 znfV+t&wRE8Uf+H+)CICDKwXQd53u~QevpU8VP60)z)Pv2e^fx@&_C!rL%+a!s9zv4 z{sFyL^$WQ^fv2H=2p(I67Jkf>@PPvO1-R@HF7O}LXSk?80_+zHGjqWeQP6h-H6!N- zh8yAo=V&-ZfgSMc#YT@S>+YF!s31%CzVFPs;o{(}Fot`E_}_j^;&x-}60s&#{izG2-~m@o7f@(Jte ziJm{^(YkWyau(lq+`14~slTXf@wzcY&mZ$>-CBr$mA`RBANl(s=qaH()m6tJWP$^bPAKVZJa9kXKkYk?8qj9!DBjKOFR15H2S1O-Cd3rwrMT&mRl*7rmG8u7D4>aBe%KFQ5RNzp@SwzpD>I>eS12E1f%Ob{PLXkCHd)_* zgW=-wSfH8UFVz?F$vlJqc^p3w@y7DwPS!Etu}3!^%zyCLQNjZrX9*AT0Lt_E@g(E; zIY3GBqosJ@yomG#FUn)LksVKtkCVX@OY{tQ#&Z5nknn&fQNjbBWt8XhGnRZ2Rx~i=kv3Sj4MU5zDYb4tFCgA~3Cgu73Y$xN&Y_h&dJQnDJg!d287xFp(^EiI03?6r~jseeO&ffwF4|twS zc)(+i4!nSu&yPsPl_FW+BpxlrL-hqO%CkE0#Kga0GvE=?4Herj;IFlW2RybC9^{^s=kw!C#+BJ*eUo@B z(D@JVAEGbhbN=UX{6ZN#?qnSUo*2$w_?(*I13YmO9`Gbmp3hGL8CQyAeUo^!6c5!G zyeQA=-jm}O$>514dImguIDa!GJm7)P-5EZ>bCL3VevXrIrJk&B5>FEKm+A}god0ng zzgz}SD$z6Gu}3%3QhyyKJm7Jb@PH?P@_c?g$+$9^tZx!e7WJ3v3+bHyX&k>o22U>0 zGvFD^`8z?v1D-?)4|tYQp3l#0GOo-f>zl-5fu3vO{X_JHe9r$oj$bc>$DOQWz;m4Q z_mqSOJUJ2`@I0VApPyVZt`y1oCh=$~9;z>RQ69UE?09l~stlf3qG!MpfNs2{^%f-I z0Z*`m2Ru=f=kuc{<4QeQ-z1(S>Mzw7;yM50IDV51o>Zb|z%!fkcbfT6A)oU;BhDG81RHp|FAmpS1aKGk6yw9o*2sW`H3RqN_aAf z{4|M2OYu;B!He?D4^NKYCW9xI=o#?9?-5D&Pnv`WJn0f1@WAgO8OzU3GOpB<^-bbQ zqW)5SA)fO;j^lU9;7KKV20YI>e--G#E5iqPEF?VOai%<OKzTkto@899C+nNUlSKWc`a(SCe;mi}m%)=t^bC07 zIe&*sc)*h&;Q`NV%Jcb2B;(3tvc5??S=3*uFQjw+r*ZrN89cc}&w%GR=kF;A4|sAU zJm7gic|Je6WL%j|);EdA0zD7H`-kY`=aka=%H!}HlfmOo)-mAmL?6ts{SW?nNqE5H zBjG`=r#zpZU^1>0$@(VoXel15FL+TNyN&F4a{O@_Jh4R2fM+)6?>q?)cos=`z>`jS zK0hgBT&XAPo5Yhu{iXUsJm-HL$DfwLlS=dqcyc*^^CUdr$(Qhe2Yzo9^2z6?fZ|Ce z>zl-rMg67vLOSPv8pofJ!IMk$40uAQe=N`Nd#tSf0FPe61D+Vl^ZAJ)zl-rME#}uLOkbx z9LL|3!IMh#40xhAf1@Ql)LRb;4|ozN&*vwOj4PAL`X=#YQGcnvkk0v^#__jg@Z=Ib z1D>6nzk4J+;K`KmfG3CYe149Qab-4H-y|ORy$QU3h`x}|`Jcz}zscZnC+isS*rE@_ z*?s|k?Ik?mag^{N_n|zWA9pgYgy+~L+CLNz)fc=dkKIOgJURZM44zn`XTTHB`8!;~ z1D*s44|rx%p3hGr8CUAb`X=*}ME#}u0zChQd@($69RIrvo>Zb|z>~%Kn=Ro1&k+d^ zcycMv=O>4ZE0f9kCh=rZf2qCz&(WoL(m1|A22U>0GvM*8ShU`}Bs}2pk??>=PkBB+ z!DL*SP1ZMw#{xZ1#ruco3;CS?c^qE`eV&T!7yG=?ovdTPlgRm-B;f&1vV;dbDU|2) zvxtl<;rV`v_7BBF^#w1=<1`>U@Z4XTFZ2sCM)AP&cBE&(lgs&=C*c84zJv!n78;UI zK0gH%kDjb=GCxVwU#c&}bNod`JZTah@MKb+&(C%;uFNLuo5W**o)6>w zL-d7w&i_1)uONfRovdTPQ^5KAT*3n$1^R=3>GjEx@_c@*$+%J^>zl-*rFf{m;6-^> zC-8ZNbpP1N;E5%A20T4De`6#(;E9#+ke>;Z=kqh1j4SnIeUo^SsJ~QSi0Ax|Mzw7(mDUrINn(XPcG3j;Bj;; zT2Iar9`LwGc)$}xc|Jd0WL%j|);EdA0zL1>`-kWY`JDfG9PcWF$DOQWz%!ilH$lP! zp0N@h@XVt;pPwW$t`y1oCh=$~9;z>RQQokBINnVLPb|?h;5o(lngDJp&#ur=s=dBjEv0fP@D;ttijuCxnbE zlgauf@nlhdsXqQ(N!riSI6R&*cyc*B^EiJONqE4sOu_@6ZItKplS;;w*(Jndfj$So z`-kWY@VS!|PaemUKg2b1ek1D`@{`B;n=j!3&jSe$c*II1pL~9vQ#|l_S4rv(K4${| zslMPvdBgtUct4r^#1cINo>tU9woW?<4|t*^Jm86^JfEK!GOmQrze<89iTX?Rg?P^Y zIF4^1gC~{f8StcV{-#QJz>_B70Z%67`TT4r!j;BhDG81fU%`P)On z1D+TO4|v8>p3hG_8CQyAeUtgoQan^&@S;4cdryvUDuV|;e?xIG;Mv3ZnU}y$qgQqG!O9!1+5?!ULWO5+3j@qCB6UWHPSIChMET zV}U*|!uyBl3;CS?c^uzb29G;g$ABlB^Y@5^2Rz3mJmATrJfEM7WLznd^-bc@Qan^& z@S?n7|8RU889cE>&w$6PO3`}rk??>gK*9r_R+Q)S6GFz7da}MrJW14FsxQFjp3;6D z$MGFy@T3ww1D-_A-y{hSc#( z!ULW?l;`uajf^YxCB&0N{iXUsJm-HL#}AUhlS=dq`FX(k`&hyQo&pIEcx=%Nao~Ez z=f{GKE0f9kCi9a;{iXW&b7pCN(l|VWW$@&3c%rC(EU(cL9`N*#@PH?Q@_c^c$hb1Q zgm~a{WxRigKK|TUiYJf5GfW1LJ6Xq&pES$CScV7cTy133MqSRXz9!Rxo;)@Sc)!1BMbKDyn< z>!Zt75&zly9k3j&4}P=vJCr6q=ynyakB)Uk)@Sd7!1BMbKDs@@>&J5Iv-dq2HYN9_FsP#Sy0?*!uK-V?c>rC`y?Ll1{vq#1Y?e&yd2mKli_Io&8Cl1pJhP-)G|9Z*Hhk6Fw zK6JhdrWFivWAl5Xabs}!bD;G z82&VFzTi#p@bQD|i81`!xcOEx^XtpZ-^tA{FEc+-W_~6&Un4WWfz14DZhjS+`LN%N z;XlsJ_mG+2P-cD(H@}w5{6;eKuWsH z^mlAff1XGa@Z!FW_)IhkeeyoS0eu*#nT0SE4Hx;DiN>Mt^XswqC_TdOTPj@d0bLKM zvGt(8!+P*9{NU>cx}L!MpVa6&LPpJmmiRwrOR+k-E&vPX061U6e0ok;is4D*ApHwn z=cvER48*hR0mxdzKMJ#@Byff6GJH=k9&=?gWD(|}$0|5Jm`biwa2|&9{{?jL06pNr zujZJVnI|HO-p^-A*R_Q673iVcYsEekZ%i!&%dvEv+Er6(*9MJ)pK|!<`KlPcwsf8x zzQ-IsxV}REF_qwh^Rg5l@Fl_Lg0ui1rV@PAu9{N2c1REKv2!ADlKjrW^}x;Qi&j$QD4`8s*X#nBb%LmV-c#1Zl?jU({VI8whY z6VWKtizA{?CvJ#B95I!|k=j*LY8Q?4UR5Ww{)*K}S2|CwPJZL?RY&@O4^s&~sADNU z;7fwf9cckROeOfJT{We4J@9&FODPTQ7! zNB%^nBKqQ`6-^NOy+|0B_y_)4qrO^;=!d3ZeOrS*;YEKeNAC?|bpZY31z(-DQ|9;9&4-@#yB^vCkT_meFZ6^bIb znEhogMeERutjqjUV%|s{W)Zu}7ieMd7x1I}%z?UvyeRPfcI5=jBEP9zVDnx|=3#xJ zsOXDn@jReoKF&ifqS8FzaY-H^zK{oWtibWf!}^8sA-w31<&r#%#d;MC`=$lW1KdYJ zJz^@!gC`n?JopfKXg;1_Xov8kKb9jq3>SiJG!Hvnisk|CpTI87lM?er@?e1y5BJ9` z4}c%?0R9=v1C`V7#j#bgJixd#53!gpeV^zrGmrHNa{esdUk1>5N5lVJ*eCF~#6PGbs86^*MEard`%=>VOL);A%O&;6`owXUqJ0AH6Cn6hdjh`dBEe6JV4z*9^gI`;uFWkhw!35mP_&=*C*h96Y_wmBoDA} zArHaSPCRFa@S;DKBRdS2TpmQ%qJ0AHQ^79l6PP!Wha_UxkO#mIc>w>6<$=ltHjm{2 z#-(`}jCuJ!QGn#e&ILnc<~gEqI8TuK+~R#Ap3al&6Zg12(G=-Jd@z;dANH5DPXG_N zO!W!4FNZ#XsiaR(yJ|}9-oxt^ug?%Pj{J#C#rY`g6L?(WAM7Wn&rqTtnnv5`;##y%gpqXwOeOUR`yc8Pa3jA$(>XhY7yYpu*NAMiWqm?R?XvpB zypj6MB6bb+3HYHt!9Qd5N#z2Y$LbTtrS&-!^TqQJj>aJmx!7)D9`Lv%53rvh4=sp( zXdV|I!i)Y`F3H1Kqz~u1WJIC<*?B@o))6q30sJ$T2PzlXJeCI-m*!yv=H>5)5;=b(kv{BOJPqCd zVBUzoM6OVbr0ZJ{is!-k0mTRRlX-|5>qF##D&M~z$jlo>>=e8Ia-{YOWag2BJg!Hi zQFxz0^I~?F>t8LA7Q_KlNnM7ZacTbo9^!4Pf3-qd(7!O1^e<{xO{v`&yk7Br(;AJ# zzH!HOQn+vMxWqs31NKcDq95u>>dMf+2rv3$xn$q4{ z?O#!39RX8G9-wbR9y(Dw@thsPi~d-S>@Zw%dC1}N(3#pr%c37MCFYIfA&J;E70id7yHE&0~3hacTb=k9qk%L7s~jKd*csGtUu?!#RgM4=+A%0-dKM)X>i;ezSb8 zPjo?g&?hjJk|*SKGB`5BVa12Pw1aepYU7``b0Wshw!35mLoe1 zmt1|?x)r^?_M~>vvgpT5iFqUSnMLdx>J#uoeS&|+>XXU^HjmXOj7#ft66TBN0iO3k z9&)kW!aU${NgklDLLT5bAH*k*_-V)k;YEKem*fHVF`RSwJ`qFK5iphH0s1}Up%0OV z=5uxkFZyFSvcqu6<-y0TXde1fyJ%VTW2VHskvv$K7d__ye#is(XDkm?F0gql4=^sx zLn7wo`-I$m&4)7cSf40Ba%1P0DRiD(pSZ*IiGD~QNAeo{S>VS&rJqV zyJm<&ePZ57eQF6lL!SWrP@mwRvHGNPfz4y}3FFfGOu~HeJivWCv- zhap5iG>*#y;YEKem*hdNPsEdT1WYA)fa@6K0q!@EU!n1w9m0$LSdQ#4Tyl9x=JGI< z+GTmbypcR4ad`mzkO%P3SRSZcVDnfWU|gDqWX#Lo4{hW89ftIwUhy0%>(9*mHA586 zIhZ$6pIWXy0YB6y_-Cv>sa#<5Sbf5{v_2PMzIdOQipC)iv0NVTxFip79)Ub05&h6O z;-?`Ggctp>T#^U5J~54~BVa1Y1Dul}57Vg~^1l7TJP=;=$8uzc;gZXP3zvr()Go^d z=8fbbiQqHzYrqeA0RN2TfyxCokL3ZzrFmF_dHFse_uOQu%skd7Se^5~-?EI(Qxa-8 z_fY(1d0d}JMtaaEFqPyV&R5bt0X*Ppj;VZ~n2F@jCoq-t32IkOsoiq2PO&}#=P#(w zRGg2(K7q$2{=xYI>J!dguz%CI`Xs#QkL8m3WPKut)(Ptqv&lLFrjq)Ea}m@hoD;xK zI%kLQqCb`+I}DdxeYWH36Rvv@e^#HEH&UNjTzvw5s88_ESbb8tz~-^~gmGzouE2cp zKJhUchdktRdBEe6Jis{$@-UC+hvsqdA-w31<&r$e^$EB>LOd{)d%>(9*Id z{i^`UjoDjA=gIZ2TU`HIjPxN6m`dsr&Xv;s1w6#NIi~Xc3;H$mFH9x=eM-$wpKy5l-2>|c0X;vbx&VBbK$M*5+iq^=CtSHg?_ST5N&tbc8z^}zZU^c{!; zrjmUF=ReptuphvV7iWj?qCb`+I}DfHzR9L`KSk@o^PEqpUDm%aZ=?>j#IE7`3ix5) zfPcpJ4V4RQ9@{rCF5Ne&m@nSHR-$ppLoBvim`yr;yF8n7yYpu*NJR4>58$7%JS3t~*hg$0%L9x{^RNN)@_j;Xzi*V8=ZMB%bw89w=gIYnn_QoO zegt{JRFeOxXk6MSfCpU7F_rHV(5IkJU@GYo)UKLRyG>-BVtryg8i)E!xJeNwr==CS&OacO;S#(eQU0d@3$?7eqb zRM!(XzL&CI#6{eN1v?@rq9VA6U0DPcu%Lp96)Y$y_HLrlSYl%DJ!))d&}cMRViY49 zqehLrmuM6_c8!U?GpE4i?!AEd=KYoD(LWsLoS8G_GiUBO7u-c0ZV|h=eS(ZjaKQ5{ z;(&2uezIiwp}c4!^Aa4?`ULue`5;t+!(z zx#u1hzs;YrK7n=+7eXcYXu3hEPRANvHMl0L!g8W`1oN7l2hPaMbk^dflV z)+ZU4_=o3mtk2C<-i-fmEYI)N5?(Zsc}acpK2e9&3GWkI=sE(SlKRB^3D)QL%ntp& zrQG{EF0W6*E7WHwjW4H9VEkC0=$}%3vU!2)@%qGZX?
    Hjn1`*wxQ-<>dz`xfOuPfK_Of9bqNgZanx?I_JzAM!)a9jQQ-`U&Eo zq~{Ey`Q3*Q2PHk;zh1+vl0D2j>Oq>3=J?6;a7)&|c7YV;flx_Z;{8L~zmUg#7u3Ig z1S#xagi87svuj{fkNIYP=Giy9VHEexYEmb;`-Y55{KNYP?wg;eyg5}?&y*KUWL~mw zc>mhL>VfyKJ#-y`P|3c*`w{M&z06LU%ns#66PX7)JTA3;b3#^!8O$!2|^`(g4s1Ns>gk$)F%$XDAuPJ!6Ua%ka3BBt3VFx z^H(Zw_M!OYJYOjj;EO>hl{I$ND_N?9lJUlbmyiUC~75 z!48j0tv*F>YIhB+hwn{}GP}G!39nF}p)|gnK7sLLeWHI#^~vT1uE*;W$EEd|L3ryv z@f(aI4n1TzkZ}nPYe5ciI7a2oNirNLFPg}_1P8S~ah$Fr5Gug|^MyE^V0P&D`Q_rk zzu%9{gPjbJ!}?Og!AFL}?;wr)fa5@T1so>GaKQKx2lP(~2R1KoJ&ps8OL6#_@Yd%L zXBfx*u67^VucF8MgxY-w`)PiC;tyG$I0@32A3`PguZMAIpFketYC@>`dy`Wzk9~qr zNuOYL4UFm!&~>cN;iq97>vOfNKFPSmzci4;`aDDB&8f2bq`YV%^OE{(3G(pK;9Y8dwke#Cc|y*C*i>>N8VT zpBO*ZC;F#UpKM;>db~byTw0$832)seF2FeAa7%^*8JFPj9mpXL7pc5CONImGMH88q z;GotgF41)aLM1qCf^o#*GPCnQW{2{kiOho?9+w&pBV;&SVRktVgjc}94i=RDz6r*U zIG}$@IIwwv>v0@#T#5repK#wY`&RdW6*7OXf;8@1q6YUN!YlYo=QSF9j^X-tl;*4t z;gCMG8K~0z zWOeu_v&-hSgjcA;P--{loP+V>zM=PR>(5hQ^8(l7`v%9Q`{o4Ut^3z)7)Km>5WBfJ zkZ}nPc<(?Q?ofGik}N-z7fobdf&=egn?Vov4Zja%(RBnuB{<+c6LGl9?4-!-P+l~V zd9cId0x?A#vSm2jV|Ft{jA_V@c{I&PY^2U6U?rG zQ9YhNn4fuYdj;EO>J#rbSfAOB+DaUSgOxIipVTs;3`rM&l{C(JIdPr@tIXC}3qQ=b{2 zhxJMCU)Fts%?n(Q*C&ok>l4p)N;o`)QN-aEv73tn8JFOI=W)d08I?C@$?`*a(M0AY zIH>iB=X4!`Pzeq{!#Lvbg4uZ>vqO2&MCQQ`j|;>UaqyAh@RHf(I1pX|2Rnzn=Nyb5 zaX|l+`UIO7xE{v=$E7%&C%pAJg!c)x`w*Tx5kL9)h4%@y`_M(Er=`@YPh6AriB}+p zeS%O4{s&=P+9#05xS9~Eejmd9hkb%jNuOYL4UFpXysK27Z(tPb(~IDdTc2cH;@__z zhxPdvl{foP{Bq9|gcnU@UQ(aDPXx0%;eFyQT}L2PQlE!l9P9IMW`};CbMAeJ@}h~% zgB>21T7AYbyK7)Qybt}u?DF~~yh443()e=v1jdi`iT)|oCz}_z9&-x==L!7(bXbpsN^m#= za)<*04D;p`nH|cDCNd9pcwA~YjF91gPgJzaaUi?`4il)|92_uy!~y+N!hy{TT#w^` z<5C=M5I&##kj!8F;3JYJs;4Eqg1=-M{`!NhFYhOiA97yV0aWQebW=r-_pfXfJv?9M z*T1koDA~jFG^>3!= z-zMu>pTqG3u>qH;)v|p<#wGsYc@FnY5h`y^mDMxlMH88q>>J*{cCdQj{R^K5SPz6s z_6?plao;#FJ83dIlow589_;YA)b`B@SsfN-cKN;`yh0sj%JvP$kNbw6hpo>!Y+m4c zeBa=>bl>1TMX7(`_W&afw`4ewaS0CRKpSx=#`2RT%May66PcIb!21{9H~c=NWBDLd zf&-pg5C=B_JD!)Y&Iy&^i038gey8WBg7>3!=-)DZx`zH=#d_3>zz~ghBh{InG$UjJ*fPY!{v&*vh@cV=@K0+n&;kiN@ zA3bLj93OsT0metDBtB->z^ESYQ;35+KHlfm`ZeCGl=R$TG{1W=J~#5i{gNy%E-WvE zO7ep50i}6CzA$~>mF0y{NnV&;1EYGpw=qBS+&7HWpC~V~pK{MfWL)Ck4baB^;zs4o z_`MT(evgUpqKV8)`U~&#m?wNr@;;BBFM{%B=%r38U!YlNbP--{ld~^f+!v2E(k$wSv@BRDS&k<$w0@vgH1;?fR1@EUy zIN2Ok*@<(XZM1K|~Lm_Y63;DGTX4)i={-RIf7!1Xu|I4;HEIpNj& zgxY-;@9+8b3AOty-q-W%6BlKD!h_|9PznC{JeBqd`g|?8e^!9?uzwON=@ZPZfl>Xd zTtD;l2~X-z)M{CMl5vTD_dp-(vm%u@r^@Pv@}h~%OX`#NiC|VIyia(sJP<0WPkc^e zeR?xHX)-&M7foay?C`kM>N8GOpOu(hUY~?lsLxDlH>W-^eymS=jW$9Bcf+yu?Wlat`cU7bJ~DtRy&s?_X!Yk0KQAGE(sMYz zA5p@~9Y*szr{a5s{O~#_!z%#f@q9+81TTD!N%5l3wSw`&?{~*~BvgVIvuj{fkMAp( zpLw1?ChAX=7un~zeUFSw{KNOF*!KddyxE8L1qu_~TPQD@$h@TQ@#oJD+4H9sT}L2P z()X~BVc!d4cB;wjP+l~Vd9cIdQtNvsWOZ1Z+2#9>@CtPpO6}%6e=vURd$iA5_dPZ* za6R7la9rB=@I8+b4t1zsQ9WchkZ}nP*jEsTx-36Qviwk9G?94;4kJJh-=}5(#eKuy zN7Q5aAXI___F2TCKC_b|vqO2&MCQQ`k4p`QY#9y>m|czo;T3S0Aj1LUM;z#Lz#0cO zFK|7M1MSBk&;HDDL&&v8lLy*8e zL8t_Od`~Xz6UbwI74+T`zh4mh1fh~X!R#6s)#Ljw<|kx6*H8SOAv_hnpgQ>hZRaQ5Y7fobdQlGp}h(0ub{G4j0>j;EO>Ju9e)@KN_lP0r6dC^4X!48j0 ztv)@NU4EZ!!tC<;B)md>W>UL3eFEdh`lOA~x<1*w!1Z{2;<&U=;QK@+973sIQMY6` zkZ}nP*cTCpFe-1(lI4f;qKV8)a8Tq@X^5?^l)dc%Q)j zqtqv`?=akv5>DDD&dB;iBuHSNAXI`st}pEq$QPzhM1dUk2|^`(g4s1Ns>k=C%uhf( z*UzRfj(x(5;E~%W$hgEm+>cnF&8WQDhvG-K4Xj6b(M0AY^~w809IF%FCz{iB1VSbC ziG3IAvjwwLO=gGk@VV4D4|aH5YV|olR-e(#F0W6*E7WJGtUfV*tWWw}u&z%wFK|6x zpExe9PkaxoghNZ}S5yxf4rE+{1J*a<(2B~NlVtg!yl5ix5**a}L~FW^K&S)<9gHIm zG0aYi%ns$@bE$D2?C`kMaF{8>A(q+YI1pX|hY2zqFn+`V{Udpmy?;J6eA ze6Nc*F#Cj)?%NERzimJQ_bqCHo|f|d*8`-Y55{6oBP-?XRl=2TfdQ(iQYdC9)v{p&od2j0Ir z&~*etCHuw|#&O?tWOmYIb|^2J$UNBLaY0?=iHqkyo7v^(oKDOx-#3I;sKZRzzQOo$ z-=Kd=`-aU6T#xS?9GC8!GK9DOIeBLoM;va+a3JFn9I#Fihb~m!oF&T-NMLpz$m~#FG?96*!{buJ!MO_EuN;T2%r3`)@CrEC>GGa) zFn+{=?sMzs2%8tU9>)R4r8tx$ymf!!eM0R%R9;1o_X+e%sV{jjJ+(e@O4cX3fjssJ zLM8ZPo~3<)=DpziPdb~bqU4cCN;|c31_3LEnS5yyTHx~yo zF2Moy5r^JX-kc=M59LJ@nU~<8)+hSVbp%2sIAC58hZJTfMP`TcqKV9d9Uhk&4hb?G z`ZBv52f{1hFhPa`+CdyB?$$W4d4cP39H@V}IC#N2h=cqbQc$1pR?*{q0`DhEeWDW6 zQ|l8aWqqO_$YY-%RDwU+m-Y$jPr-enKj>kfAXL&Pm|X*-`pUU}=IIjys6SDwW%WtM zCI0z<9MQ&yitm|b3UV!5796hY`%q1DPGl!{<`tJlNrJso}6$ zhQml^m*YTq1sv?0@}4I!e#8O&Q##kNd4cP39B^EULp8#y--pi2{2c}IxNmV4=r3Br zEBH(2HJa*deR&^({E++537|^-#7{+!_pfXfy&5Wdynki0c+fBD&z1a{o?8F=p_019b)@}^u3K>b`V{oAe-SF_U(Bw7QGGzJpLzP%XzEXt7parn=PMbP_-6t+ z+&5#WyxE7=6$2E`IfNHYWL~mwc>fZ8DPH`X^BG-7AXKt%YQi|~o3YGJHJKgCizYG; zc6eNB`^JOW<>&Bm%r4(IgjcA;P--{loP+V>zCr(#_6?gCxE|j(I4<2cRNuOPeNO#~ z>Ot)0;y}hFI0S(l;xL}do0DYup}c4!^Aa3*|Kj_G--jm9b;$RELLVB2-opMQKet01 zCNeuIGCPzPO=KSI@VL})2$tb6iP_~i5MBX?2{Ifoe#D^`P$eALyukH14md8wA&~GN ze$JtGt@U`Hh+}ce`vkSG{@&z-tWSIa{$ZaWRDyq97?<`5srkFK|6x zpExe9&pL#+#$h^)BM!G@IFNA(4vj$$ahO5n%~`ViP+l~Vc?k|`ePSkEM<7&!Ln9bR z9A+^)4`g;IFPg|a*x_-h;gBlBVK%eNaUi?`4tB-!o^vpM!~y+N!hy{TT#w^`<5C>z z5#D;gJA)Md99aYNO6L%YzqKCk6B#Ofg4Th0pExe-6LY{m_6b5I_=mu_v`-+9aTU}j z=7Jpd2|^`(g4s1Ns&7cvv#!s1Fpl-QpKAA^W3v9Wg5`lwNnJLFacTcT9`jvL z|5^!h*uMys^e<-Dz^I<$ZM|>4q5edzmhBrdF7dA=$l<;J6frD8gIscitzQVP2`fG*!{#ePV}7pJ>MP)cVA4vObXp@_5c6 zRDyq77?<`5(viwk9G?94;4r+bk2fB_xs04?OFpfB+Gdn3VJCqkqWFGABxYTeE ztK~iCY-e^k4un_0VS)??j3048|CDfG^8(l7IN-Px2im{Y`$RTKEA@$1WF2cg-X}a* zoYg^2`hJ!6HG(^=Y(rL&{@%?|S)bSe64)mQmEa!_W>UL3eFEdh`b7Vf z>XXe2T#wf$j!Ww^mhjd%?1gdc6Ss)nTpY-_1cyYBLmV=wyg5sjAIgg+GB3eFtxxQu z>j;EOaOeT!h{Mm!&I6ep%ERYU<2=~majD@jK!(G9W|!kYcm*8nO5{CHVEl*!`lo~g zn-{nq#{tKsIJ6_Y^?paG{QmzJeNL$F_an0Xo(XnvzY{9Ku@{U>_dD|FUqSo*0LbBf zCseZEnOy^;dis1)kB{eF{{O!^5I@x8J1mRuAjo5Ugi7K|hH+_p$QLHQUqBAyBUBO} zvuj{f--WEFexF?^tG7cSkA9*Tc%LP_LcP&>jfVDL>-<4|llr(0ROvaA!2FW`ToASo z(aToRqkYBN9)JF9X7R|MKeWH7%|5g*FhJqwdxRHFWM0zuT0#`~{5iz( z$DcpH(RBnuC4FxIjAP$B#_Uv+*`Yjq&Nj}29Uhlj-@7iW!{f{@-+zQxsKZcdH|P0- z@nhdZ|41Km$on}3Y+m4cyzk*Skw*f5Xy3HP;RN+7st2)~ivt;#;4lQ_5QpEXyg5mh zAIgg+GB3e_KYy-+54dmmefA_>M<7&!!(bRk98NJiDKa~h7foay?C`kMaL`oGdrm#g z>~b6kuYkh@84egf;(-1s;lSnvuE%k}aVZWx32(jMd7p5Gd8PhBpA*)4yid5ZIOTmJ ziP=-T&mNNXi8CON{gO}#{=;Eh+9#05xC(l1odr4U6NF0o1hZ>kR8OB1*7bRg`V+NU zR-a^C;@>Ec!}>f=<;|(Gx}m&iBJ+~^N7%CpO=_jUY~?lsLxDUePaArpXi@beX@Ch>+$-;acO<_CcHHcmth?H z^(`3=WL$#7Xplo3u26Y%mMlM%7fobdf`eM0xJuU%2$kUQDU2fy*O;9LGCPzPO=KSI z@VL})NRr`jo!R9$5MBWXJAK}BD#njEpnpm@uz7*&aU5`5ibD$Ft@k_c6B?LT>Jxoc z^mv~btI{XvbD!W2e%O#zr1#lhWPRcWNMN5JRD%Cl7?<`5o_c=z!CH{>EIjqlHRNm}E@yk6=5FS2<8|NkU$@|1YS)cfm zt|JgCsn5@09P9Hovr|oGhw`F{%!3^sms)*pmeuDSW|!9|;T7sLl-kWXPhk95pXi@b zeX@Ch>+$-;acOi`g4rE+{!z7SH9PUzibCN7Sl!wpZ#(4=2YJK7! zT}L2Pg2O}@M;z`mJ1H_dfp+zSHJT#3F**TgYoIoaw`J50C>*;q_CVVL)dKAbvnNhn5Cf0|L+k z^}+=;Hv5P7AJoTAqs`O+6@Ye485)m#K#(Th7RTWaj+^^+YlGt{p^d_kHc0H%0BLB$ z_>M@MbZjz;@HI7~P%jJ>H8uy}_=|LZa$;g4&TD|;dV`1bAa+vv_e#d`?(qQuNP_}3 z0aCk(E!rmFcx+U>1!@1DQL#uzweQ*(Y0n11(MS^mG|{Ms`xEU}q;x;n(ct`$#1TV~ zCimA2k*+@~EP$-vtY!aZINz&FXdF^g^r$XK1H*e0znYq;UwnP|bxX8k6<5EZeMy{y zlDm`mxP6qyxa*l?LUG*|;k_b|#`TOR@h6$0f|0KqZt8#(;^`ob2Oewir||Z$PC))3 z)(PryKje8}edC}DllxGduui3Ra_h%$7{-y*LowIU35EEGUjq08 z#RPpLmjX-t!oT4;nqCYj#&I3!U+=~A=$1{ee$zw4Nd4xl1I0+z;Z(j3{CJP%1AxYL z5O1_kakr-2Z+}g!*@pRxe)9D>mD_P)i z9s{$JP)Iwi!(ze+&YGC853z&$3F8@CNIM*#_~vkQ+YkOF+2GF4C}5SJA7V^!g3UMptv5M52WkL^|0SyTynkF zDtcU=uOrtJVM56s*W*;K=PuLZa@-E5ay_2{^!Pe*y*es-TwcvyL;-qSUv4js>G65K zzMRVSk_yn{>&f*-sOWKdZda~1xd1(`FV|Zr)8lg74ySUxRHm2QZ@^I8r$Ykb@m!If z*mnTbG2O4Y@A>}WRKAbk$JeCpcd+%KeBqCE-{#}F_B2C3WWU07f!MkJp>}HiJ3AUk zB?ib%3?ey=FNbuo!a4N^ekL4@4kn2Mnc+N}j z4h9JRKtH5!b9)Jew3m|HkJyQiuN6e>0Y!U~aep@MFB`{mY`P}CR)B20CL6CQ8#l3W zlWaVYjR)d5-zOg_eJ;uKfV)}?`#KmX?u)Ao=j6TvQZSE+eG~g39ny6O-UHJ6_tPZf zelUlIl6sA46GCW<=vY!`kuAu*EZy89G!Neb22ej91dv9zj*mnN;*of6X#oSsbNx6u z4+2tsb4)X`jya|^q0JcIjPdN8pB@lI&iU!=oS*&|J-7b=#!0+3?h%~LB4b3h?+!?t*^BQIYDF4;=TT#_Xh18I9Q{8@c*dK@}PAB|8YH74*u9^ zy}%&ycn<)6ACui5Ax_Ir%YbU*2#$%wNOt!o(ZF9 zAMX!J_R%ib*Yfq678iScxLFIDdLw`Unhc5_El((@H@U={yKNJVssVv`Cc*KD;=EH;06+or= zV0qE{KmeEzE{AoWUq1M{JRg`p%tsHPm=D53K5!kSd?Zo1ocNhs4qs3{P+ln?IvB_I zd+dFKRX$e0EarpTM_!r_zRx09KDZp(%P$|e4zA1df%T2~NTcH>!b3jr{-#v7o2gs? znTCDC>lNz?yf!IsO%a_0hkh)Q*Yp;NKy}GkMZql+LE0`R6k8FkSc_z1+$>DokrG4uI_~ZT|=AizWTn^9oE$@5sa}LTY z?OS?2u$_wcR3&^9m|bpv1xQKvhlpz6&mrQ!NS=@AXL}gMzRUMD5tHm&zAoP%_^qo`Z7d&zhkS6q*g7yv`^1g{{KELrFA*9S)TOqte(`m= zUsx~bS0?j|@Djf$05&;(9V);t#2Nka`9Qz;y4)|s4gEUJ{31N~d#j#&lxh5H!u1us*G-@(A4 z{{{KQ*X4e}k41@J&zWC@2fx_QWwavHFR2$!kp;VxnO#sLJle(aVjze6-kzQN@%#pH zTb=Lm+=Sz7UU&kH3-rly|A0QOhU<}e`1v-G2g>`K+J1HgEm${^sKa_ANLJJ$QKZv}USS=q&Xri2s9E7_&zd)uj{RP45t*=2H8cGc{vQpi9z&Q3FAn?aV%j9zSg6baSmHflHL_F}^tz?po4#G1U7E<93$i42a%WfgNjQ1 z@&DFyjNW%`r&a>HO8J#P$Cz9WJ_YS(lvl!=-k)u!;{6Wo%JX?0#-+~_CTC?=Eng_F zWEb}v)|n6dtrV~PImYCy?5gc6lvlFr0pn=53jD2PSNVf=1%o|Wbq`%i22cyz;aXsm}c)!GT6R4bt@USjDcdD*Bsf ze+duk((|4Ax|`X$Wmq0|%hs*I*4@U|B|NO_Psjb}xHHKU=3@twBl93f&w1+hGpIb& z2gTti;dA2(03PGYq;e+0!@4!;xE~$QwSS1ok$I4#=Pq^oA|Ocd+6S8O^D)Bb+BZ=< zxQuY`wn)!ujV`oexAU6;O>%w0E-t?NPMOoWGZE5JC`AMOLJGhWxoN$V^jXZ>*~ zr={<8a@Vf~qnNiOwm#uueg1w!ZQWGay7c`>?z%y2-OX%W!b{e*gL$k2{9Eep4%xc& z{YLJ(wLu>J#r}bLCcI=_+CZ$=JtSL~zMsflw+>tP1Y4Kzu&&yB3y$A;*}C-oLhibC z*}B)+x`daktCoi>*}C-oK<>Kr*t*$lUBbh<*!Rjn-^2bU?R&O_x8d_-UtrzH?V}jr zp~ZcH^)d?-@x2CNHFib792uv)jh5Cy3G(v->|Y5mft1fr1_i8B+z{(<{<15jKa$8mqtbH9x?jyPtc z4Tf=)8^I_L_+z7e&-|3@)APHv{#ek;?H4v$TkucGK0Sw9>ra;H7g5po06Fwe?*B{} zmD+bw(XXJQzfh*{s-o|yqQ63>UsgrGqKZEDGpYX-RrI}7^zob~)%R7=_g2y0A=8In zVpGJgl8SzYOuw#*KHkri@WXSN)c=Mm`aUZ9CuI8Yp9d8E$NQ3!{qr(?_+|7w`g|Yz z!8q#k_we-pRoG}VU=;URSD?6$kt*F&a6e<;AO!v*{Q~+2zV|mk-=O0*pc1t^}E;e0fs8c^t`Gw_`2 z1r*PDa37q3_ZEMk{y+nP;yt1PP&^O8eR2k#Qt!mowbCA_d)XiPd*UjFdt^Ro)zx&dFiY@&(@dgBOZ{a zX>{M@{J+pb`&)wf8w~46{Uv-p{)U1)=0#qoh4D8Q{H6QUc4|0VM_!lm{JsD=o+qiF zn72#$_-SG5{~JGBf}dDlxSu20I)$x+Y>-EPLx4*CC44^qMln18#$Tx4Y4qM`JGI#d z`ul|W+eGFs;q&pg`TyWA?7L~WUopSYY@Nd9_bJF@ez6Xv`6YZl{Dg1O0u*{0)=&OZa^J#r^$n_ZjrJY4o0JJC#0{3g5?`gH_Bg?oVlc37?O@ZPDF- z*%zQMPs2Wq^$yP z&(CT2yu>)W5Ncx;XHyu@FHSNqi4*&iQk-NQ@U(d37=1#@yx$}gQo|?N#Bpy zPVL6lai=r~&lbRURQUa8>|YR1!!(dYe6i19KJdJQxRZH!kJE~ctJMRUm*ffij5JSV zU6?21KzTi2pTIoDQu)?|2Ya~h(GR@$q90@)#`(E~%;%HO?x6o6bt4Qo>{Ow`2HkV9 zq^}oHuS%gmkR{-+=WkhW;_drd%c4T?vg!6u&&hF72l-hn-=qCp$`Y5VrWNooUm3 z{NB3T+lGzFzNZ;w<6phFH8HrEap{Vpx|(ByZT*P zT<@DrFKtdfbsAc2{DBs+#V=jf{(Us@C`RAw>}NyJt4GnEFNdEV7_lRjM5^!r`Yfw&Ms($utx!4!ZW13gE*QN5GXHLEJ^}Ce5w@AzG`qqKl;`N_(7k38L8BlV|65CF@ z8n4CZ4h-%{9$V`l`i+g*UVs0FGv5V1sXu&))80lGlbpYvXMT2KtV>AoeS^#QtvCML zA`Tvg--IZw{_DTRjfXy&RdT`%Tl+UF=HN>8eRpjEqWQ_-xBvUkYb?GSwfJyq{rPsK z%#9X(>HO_D^M*O)D}+2*ID72K%#5fa4FX-}hMY0!6V`~$+V$^W@|S+L{tw@rz?D{) z56!}rI!*OE*KFUh@BT4dXtS(-Qs=i<8%-PToRwf+{zZ7VkbWCet|Y(g-Ed+2>E}g0 zJL9^t?bHGKw==a*ou)oOEMA=NxC~eM=8AV<%qyQt1GNj1yhAn7MQSA(i+wWL=^^a~p?x-}&82^>~yfynH z(L>+49!oEl{BDcq-*cJ-9`E{fY?eCXBWez@?` z>z-G}_IFBoRQdIo(#Ky_fBnL)WzXN5Uv{|Hsmz<>_J0;nKBT?)g+0+p$v)Y^GrB$3PHDc&;bDVv2?e2<+b|=j;1No$`I=`|o`0{`{M%ju{S97JA(La^(cQ&q?jUiu)R)j_-r-PN1FZHr^%I z`3)NG>l@y}}^T>#CO}>-yIv0*7gBx_wa*b(;G8FdyxNcK3?=spi?O zeX3|GdN=c_aX@$Z)Xi*XJBL~qDhabE)zioNYi;btHbI>LpAxfB$EKurqmr#>Zq@k~ zX;L}J$G>aQ#p@@=4hV6mwbw`c^zVB5?AqGUF}LraPOE+;UZIZtNbk^+FBiNR?%VZF zmA3u-yQVDr^?mH=Fo(ehd=uI({nU`%O53E|Qlj%Bx!p9>>3*e>SNzK-Pu;4PzEkyS zazJI{+rG>7rz<)PSyQc4+d7{VPp_)=-u3rNwDY2POVX}NUhy0ow|UCkt(8AnS^a78 z>K?pO||j6;?|;kibGm(;6lgpt6YCwFJ4+!`e2#qZ){gAda(!#yWfn5w}5Dlga@qpb8>r^ znL&dV)k>ZbvFx*$m+q+>rZ~*@3>xgSYME=X5OG?Ck+x+verNkN*hbxxTYQM^Wq$?i z^Z2&7@6(_~yK0}=5)n7oK8o3`^C5QY=CxbzL+sYiYq!CN*ln2CZle#e+nCyIF+XHg zZ2N(lEz8FJ; zrHbR3BBvv246}EMuQ@VVe+{G>?0e%dXhH}|g_X83pj5QunWCp7+Mrb2$mHTE)p_5W zVuL1hN2#8rZAze2f5$Vr(-9+3>XVVlE+{o|-y5ev6Q-injM6s7%XJr=bvfO3VdSln z(LW!pxNdfWro=3Bi1QomieZj>HH$~onKxmQ@L6{8MMd-j#gbn-Z~D?P%b*Jwf92k9 zrB4@~bivJQ(d=1xv~EqbSt zAMVy?RMHxhp4r9dl#o*Vas7j7tuq{bri#DXW+tS3DQHs1HaYD$Yj36Nz0YZ;ysMbK zw$FLjI=GyMYe;!>7tbKRE z%F4@ziCIrV4{Lol{8_!tl`n)_f4X{fnSM`q*}v2VSLcnVVr!Yl3f@cgrk{6I)$Ohr zxUr(~^wvW)$G#DQH1Lk`d>!47u0f}p7$dehG(9|e*srJXLz^_kn~k~TbfJdZKYzMk zK5OZgcvqN|{)re<(Iqi3y_2C;OjvAn@AjR#9$eDfZ$dzL3-^|jBWsqmSdO$9*-(Ez zsF~^1LLYHQ3FEa=hV2IJ-BR|GjZGb*7YrCtzESyz`_JrahIHRyY4f$EUCNtd+ZuFl zvS^I3aiD%jsUoX%O;Q76mKy6eb3Q7%2tS=S?3z+}t1fIqy}G-NGo!|>HLv;AT+Inx zeDZ9Lmy__j+fdQHozv@ki@UwA+i`+8<%-M03k@e29$kw3cCGiin^WsHnd?{Va`=Ia z$C}9B=390SX)*Wb!3u)9g|FOaWDh7(Vps5%)y2i3-CQ)4{v2iK85HF) z#@oHm;O~E`>UX1Jc-cn19!7?@uxS5o(I+x+QB0SF7vD7(kA@h(t}g61c%+s}SZs8! zG2*FR)$*VDM7;T;;@}YN28(uwCBb3ZvJI1>PAq8k!d2|`OVdXEd>{7ixN=X1aB_}o zL_^`S&L^(S!^O^*D%Tz~{H4(Ry?*!eW=(W&(*iG@Gj4zWE;MVgurtW;q|fs0y5DXD zZMQRy`MIl4Skuo}&L#h4bmWe*OPwCKcbhlKJ?8q>qMkj64?5F8Ol;$#Ygf0oVNLyJ zhHt!Uq}q(zSjO)`>*o9XR$p&6bhag+ajR0Jyf$^IvHQ=IVDbIe#`TW|WE=dKm+5=o znA!Np_qAU^DMU=(W(o~)ykxnUYFTBs$6RW;SKQ;TgkwSa=_&m)bVt8#uJHr z`osuRHW^N4rB2js`MJ>;lkxVRKW+>^wbFA{9n7@-lGEQh4fl34M!R<%G4o5;SjSdn z9L0aCxJ>`r@u^|bjuu`syCOKK{=0{;U<~VkP&Ri<}&_ zZr4V*zp*m}S3c@ZMy#F$}Q<<*pVREm7gd4{Hs1< zspC=Iw79?>GmYaupI^CGn$Wz9A^q0fCb~^)gT@Uq8dp9lx256%zdzn#7^U7eaSP%HzNn2jacE_h?ls{AU09LQl(} z5y91*nmzozWW=9?JaBKa@gIG>N7^BmGth(_g&q+1EXiGyLF|NczdFY zDgMM=gUP=2n5N$E8x?)vchv7>hgLotYhDd?|HN`*eWc!P-I#>RZF>GSMw~s|IC8{@ zWrnTMYYj*Q{_a-0d`ZHiqAb_bZ)-q z-#E>_{z9mA&^4g@?E1RW6+F&67^b@!J)_9j!EP@+%~Kr2&owSX z95zfd%nymJ6yQB-NvCa5k$&@vwQ2q9f<>Xhf~7~X$k6EhZz~V1VH00hJghVReZ}v9 z;oM;lmmf;DJ3o1nO%Knq=OfOJJ6$4d&_qjQbkxkzQ%?4?fB5aLox)68eR5sn*Sh$T zL35`Wo3=DL*6Jywyfu8AUcZ~}^B;qnt}t$$w)^zVN#{TH$B!S?1pRTNj+3X+En<}W zr0RFiP1;(=r^-SxwUo<=Zy&5P{Bt|#hv8*{pT4V{FxWd_QyZ6??;6%WWwCTg48DE& z?!NJ-l6``fiS3&j4{n><*6>fZ$7Z*ZC7#~!oaO0Rc}v6){ky7RXDeHZCq})w;68U- zvtxV4o)Buz)HkvHzJab}OoM-_7|$CWx-EDigzqyHcNra_yI;QE`P;@XZ=+qZj%dVX^n zwrO;s!}8DteJyVLB8M(KHMv4?6aDPQV%e_78P4VPhF^Ai=qHq{e<*D18PT(!Ys8(l zncc#MY_oXBM|J+B)1F)XE>)bAaz9fXd$wJ(0acGp>v^jGO=15g*R~IC|EB8@?s;sq z%k4I|TlG95#Q5nu{@JLr?tIh0+iQ$H&pjUbvZ>IevSIwt-7R(5bAozSHuiu2*_&@V zWbfVn5W@&Pd~~nVyB=;++PQCgvd={r7p1W)FAhm^F-*GpiQ$}gn17hJ{^F@`c31Fw zIzFyaas&*G0TM(l~eN%2S34-*^tLSE{1luQyzrD-K;0 z(SCvF$Z*%9mWDf<{$6U?+CZmsCr-W=%Dd{9w;EVNS9M0vnR~|0ULB)$4G^sS;q3z~Z*)z+Zky8WDKLw)bj3kq2#>Mo&6?8#db00^v){P(AAjTK z@|xnlEgd@8RatxV?tw*9gw+*XJxi_IplepsYi$F4aueseLa0#ryuQ@uK2>y^iv}id zHO|~S`Q_(73FU(g^MunSbe~NOnh8&@0glCQHoSf08ot%k9JyTYoYSKFZtqvRznFh6 zAvxoK@#%2UaL1+BfaPTj`&xy?*?BKJ>V5dqCcjBTJNAmp_^L^xK+E`!5e)-cmkAV0 z%@KXYZFP*LziRiRA-uX*k)!V2BCpN+v{i+_W<-4VKI~;^*S{^FUXA>v--|n*yM}k~ z{7ASvTL1d0{T*HJtf2j08*3$=?$pd(_@|6v$8o1;x-+RkwdNUPFCN$+bUk8k-vV9i z`eeDz>44cSz|P(6@L!v@diJm1Zlf68+-3cl(ZPmC%Y(+=F5`A%to{ox?}!r}C)zy> zt#|vZ#bH?Eg?F9i|F!Y;aqnAV%0=U>bx*q+O0DzyHQ9Zv>(h>_w^kVNO+?YkB|i=Q zuD#{!&m-@xsBS;vthV{N{X(-f`qi;VLUfb2HF(t0`0$G^1Mg-CE9V=A^?vZ3?sd<4 z4~HACzDgL>`M&*%J9>1nS!2s%r|1;7xtHCW1_wH}8WZwjMznY!!KIk4!eN7Fy-o?c zyi3)Hd#bDA_fYJ3VS2?IO|)NG>~=&1J4{#-KXi52eX}@ql5uIW?|8$^4PGf*+%GS0 z@GkUmh0!SyCx4kUFtq46%g>}SbA@W`mV;PC+q+DwEee}gy~O9O!WyJTWsXgkWJT$-y7^1 zFzDL*KcX9AQV$)lvp9W{<#u+tdygT>|g#_u>xTv2kJf$BGsrN&SbuV(ske;6;FpHB zy>5=y*@p+!A7R{6wD0N}Q@l53;oEf0mh!W%JJp!wwy3E4A`cgBm$bcS+6RkkmbnO* zo^3E_Y6tdBD)US6>F@hK_r89$i{m5P2lXA}EEg6A&$qi3-g?zA?<38`aj`~oNYdgT|tn}2pOb(!)wbaH~_o^#~JFJgzb zTG(P3P4T>T|B`>oGT2 z95E~5KylwLL;H2OKUkQs*0oAfxvzAm>iTqg=!o0tG?Opsf*Ye_^6uk z4@>I6FOLgr6AVR*R!h~rE*130cB9WL&FBtgM`l!ZMN`e1MAUHFnd0{RlzX%Eh(5DM zhI~18hGGRv?5)vj))%@dT^S>E;BOv*Fj4fk6N{L zK?SkdIO9@R?k79shVSl&F24J# z*EXk+t8PvHcCX$1$>pLp+5X?Th=)$PT&mvr7sH*0-Rd9oPQ5o`y=IADJ)f>?#@`MK z?flY`J~}d?^_Nv9w>cl-H(xyWm(ee+=y}63cb^|;m0U6VO_lOsrLl-U0|32=N-ODYdm;2H8;m-!XiTon4zc{Lo%k%WLLk%r|?jGFG zyF}-kC%^afbKTmtOF*lVp_O!&;)f&OR;fR8-LZ;)E!ruj3C0DbXRR{SSm`sjXvvNq zEwnw7JcpHwNWN2TRM^vcmay$nZU1?AbyDs7?Y{1nI8;nJ*5mNIs+$t~wz)c9xU|Og zd}pUKy32=rH_dZ-c&c5%m<-{{a{bnScAV1fSQYrNqVe4JSA$0UC8Ret*uTBJL-*D- z=$yqk{P~lJsE}a+=j<_z=w_p@IoW`Eng(_PEruF2*}KYS*pbaz=+JE*&%)wi)N zH+q-s)a~chzJ8z9OgvV(<$$oDWtJjGqDIxNzVKM|)&~nuh`VPQmzQ$hYN)&3_n#@H zjs!*bAM(25nDP->uj&m7U-F~n%*v+i=Kj;-SmRZlE{qX24b^|q;r$BT{sj$UdKeoX zZF$tuS@?XRA!PEpsk*S%jT$yEo{0MVn=yX|grvcT&cS;U#|5jM%)h%0E9RcmLUXrN z=lA>K?ZhKjTpnGq$uta&VL;;eC_5ty=$rWv&1sRk5}YsaE6WXSQ|CLAM%mfaE#3=` z3r>2ETT`QSulyUhxEaG=Kbmr*kKkR&5YTN-Rh>DjcOPWk=0DzS5;9(Th= zI$7SmkGelBuxq=k9xu`&#b-9go_^#0Hh8r3?NO>!T#Zj&*6&mCi>eVLcT}7bZsThS z-_f+Y?)xi&3wxjF-+HL8*sF0;y+^(qd&jLAH&*bS>3YaU@X}Q~Uv1-J=kpcCnloMq zzh&rm7mL`h`!Ox>{3+w)ZCXpx8e!)#!;AaZw(E}mnfz5~`L+9QxQ5M{RN*vvPcmw^ z$7QG59o$@hbdRaC{i|+0hYZX5RQ#!}%e3Bgzc;M>v3JZj-qq3??;Bd)FQtESLK^Nj`-pEeM`uc z+m?$1EbAt`GdJ7gwe7)l;n^^K+y0OL(H#wMuxg00(f-5+Z4E-qMnmf}shxG(LsA-; z${*NuZPj<#70R!=j+qup&;Qmbwt`z#o%_y?&f8q47XQ7AqjkECV&MVR-G-QM&a%tqO=F87sU){FL@ps~(A;#aEzx~B9GF<#ou7j?E3QC#S?$GUr+}( z1pl*!l=#Ar7e%XiM+k;*1)!>YI#425IxC8lK-i+)Fp>R&vKy<K&wm$5(I{l>5&eL(mi?|~a1olXq#>$+!PiQ6mthI)ip`qztmS>r+Wsr@O{lYbL8 zv@pK9zjTe^^*+DrMT{=5_6+J)xxDdFM2{P9ONC6TZ7G^yS^MSvSr@l8Yp`X8;MrXt zUh|J?x-xAWd^6Hm)ljG57h0i7wjthbL~~twbV`+*2lLc;`nMV-G1Fa)!-OA=y{xXTkX=GO%wgbA01f#P|+Eovy&_l zvmz%f`}thJmT=pjYl!YWj3H65y@Zy(>yunHU35Vs0v(nZ7oiUy|9s5A#|(VTz{d=H%)rMCe9XYd41CPM z#|(VTz{d=H%)rMCe9XYd41CPM#|(VTz{d=H%)rMCe9XYd41CPM#|(VTz{d=H%)rMC z{Qo-xfp+zSHSBxF1)%HUw-Rg^Z_B71(E1RjJ)Z$cA z`lG@E$okD%_J^;8)n@kU5*mlp6g{d7(!lWE#IL3%>K9+X3R^!pF%iFyHB$qW1XNHby!KJY<_gR#$~4~ZW_?C^Ctm9LNe5Z7nl4^`m$x!*TVzu#N~ z-*XQAjl@#~*5P(ImD}@X_GT6`o*a8MnLVH%Vy_ajx1*5ua=(9^*@HxWh`q|p-u1%T z8_>N!;?*OO*#r6^_V9Uw_=%9{!qmrL_(Ey4H*_$w2lPYi`7(R(W#WahhtClK{8OVa zP5lRo{=-9-P&Ii-D_Kvjm!zV{<@tJYy%7cIaecYoWSJh9<90Ze>){8PW1M_muE%+~ zUaE>7m*?xr^>!4X$MxlUhnOCp=j+R(UY3d;m*;lndan!6@rQDx58D9T(y$|(Y zF3 zY;AgcyGXnrrN>5SLg9Xr9^JAD-tW>w!^r(Eoy+-aV$C)<&h!Ep-=tY|6O?bNiEe`P zt;1r%$aC+?#pJ$3FR@2FS+{O&>Ytg}lgGvRt}H&z$1!<4 zpJLp6Tu$ZZE02aAp6F)){K=1cY61cfr$Wb3hsFW!XfTi86cA50ncuq$8ISxt1@Vx2 z4GqdFfRF{`Qjj>kOZ_%FloQCjb5c{v`kY0ReEd)28S0{)G3` z`1^lI{sa62bK=2$(t-KM>jC4$eZu)9Sv*4u8P9*0|GGK;V*bA?z~8OBr#Ju{jHeXp|HJYh;GYu@=3g(;`r!GN=O5ooO6#T?lP_pL|7ZCR$eaIO1^7E$ z=I_`-`de`R^X46JAp7D&`%gg4y!k&|Ks+O4@!Tq8JPP@b!8&RaqKWyS{!_D7PQ76L zmv_j&UPjCOt;6ICsu%VA(>?>`Px}ws2SEO1{)2Mj!TSHafOy8p;#peAc>c5e!#BYp zZr}##|K|$ucf8Es>_YmhmVbG_&*lGR|1s6fi3jsvuW0`DG(i?mER!#&p8m7^2jptB`+kz6^_rt%>-@w`hYuFG<$`{g888KwxgZ@w{`l0RN}U{I`Q2 z2o&c0ppfqt=x=mvd?eBqn)t{MIwu6y&Qm8L%n!Ba)Et@LoeJr9K6xko<=^MMPLBVW z_hkk6KUe1ejzaqXpXa?+?s*gU=gR{8#{UQ{t#{{Qg{v2Zyp!`j@9X~X2kR#1{LlJ6 zoHsw{ydO|YcHU(EsnyY9Sv*4u8P9)?Lr`8EzAwPd~7!drJY#8v=zlv8 z)y;bjeNlk_88ZK!;THw|mviX9&wK7U6z{*$%n!ACIUw^psgQmvf1kW$;;%g?}GiGa&a|isy*~1^9hN=J)kN`mKNi??eC7 zeYj>o?l~0wub|Jrj?T*bZ@}aWs-yot@44qt^m}9heqWOLJ-3j4E99N^A=!OQ_B{UJ z`j@>2y;?v#mu2z1E@V9aIS!`0=jmGT`?>k`q1!UQTQd2A>PHO+elJ#|A9^pVDS5w$ z&x6GU#B)a$&$dFwqksc_KLm~F-@G5HDS0o4em^b1?MHu8ZguXl@=_Bwv-ftMyOh#B6_Mu%{iwi-s|nyqd}uq?Kxtt z9@HukqoS50Y6Z@*YSpMk>%IB~`&-Yt%ti9g-zB8FQ zls>>Zp7TTo4%UbMrt{FL?z!BB8c*z8@mm*v?>OUs?AN_=9mcwUuuk0gRjBa!(AIIr zow4qj&xiaz*ysA6Q+*vi_{g#M^B$K^o7&gg&yP6{b1U})z+pw5xbc;*Ar7~WGwv7; zkM(`osdFp$2gv81I{6e_K0C&lPX-Q4(SI)9-##?AdOtk%Nn_W~AujHDYG1E@qB!Vt zQhRP{xY+wN`}(}})VbCB;nj8W!B<#^b@iTc=JS~2aC+rjiu!q=PTZ4R+`k`Z+)*56 zN6tt4d7pOb>6LRT@;Up-W7ieFb3epksoK}8tH&INdDS?)y-wUmySO)vGwv7;{(9i~ zeZD@-tH$9kb@G|&@|iqkeCLc94i`~l+Mnk|r_QU~FW|YwVvQqqzL@6X?i**^Q5?$m z2i4C}?ei=9`uz0NGb-n9?1#;D@|o`P**4C69&;Sds60Of4o4g{_C7w|#oeyzYq5JIrgb%R6jp`Nu7M!T|Vo^na^X5LvdC$4qvYmH@<&9?58`&8Fvf^ ztNU6i`(t1GQgK!_4ktfl?7BMD<H22{k|^j=lWO9saXGKsDJAp z)LyDScZr{y3FBQd&UmBiuj^dXhZKi>U;pZJ>c7>==WLhHmT~6unAQKO)$9MeI`KZ! z#e3+~@zqOw{pIs=c3hsUh z^nDUzJ-5Ev#XCps>(xta{cF!X_Ic|4y#Ah6eg1i6oqT#+KI_MsPsaMwpD@$k|18Wc z+?V(7i^20c^q+6niT64e@2+vi8(;s&`26FZ*Uf#}*!A=Rm(L=#uUAix-TGHwfB&^k zyf?Ub2ge!j80&AJU(clbeExCI>3&rwpH(iOv~_&viF)ggzmGyauT*-i((9C7ue4R^ zYNclXQYSaNt5trLQnRyhW_OM1Z&3OYrKY#>Jt{Y9dK-VE>MdULZ}D0_=Ev-47=6Fb zL7Q7?ebGtlGDUz^W(!^7-o7|&ztZ+f#|rFt*7aTcfHX878CRyRg153@5r03L;beA3y?ng9;oUS%>d)5Ga5ifA+PWEU>-t#Z-LCN%j^@|mGv4qt zyMI)?J2jsbIoS`^7yi+%bBi^;OO>9hv{k9~ZCiK4{c6=4F46i(q|TP>di<^RyT9XY zoYnt;#$$D4`59i8m-Y3lG~aRO?bgr6+d7SP-7C6M*yG|f9RIJ@!IbIycm9dh ziQ#Jc81Lm)2lF%@+du!Pb+E|A`G36*R%t#fv=98FUMDZo_%2quL}{zi$LczHyROH0 z{n`E>?{#HfUiRG<^<(w^f4z>jJwfZX`v6d)q|5D9oh2r;*+DA(opW)l3e5=yOs*kSG_3-ufMDteH8#JEr=D**4 z{Q#@$ZBNj;?LNTPEp5y0>yKCcH|YK~YJRN#+cloYyZ$@X&jHidHJ=r_zW=Cw-TL`> z@wNM_>ou>dmD)Mf&a?LX!tBV5eg}cRUz!RG&(GKB`dHoly{OgskjAsG>#;BW9`73s zY5rReuzKJA1h3zo18n`8X2`yHqV|FHd86jn_QNcV=ZV@6-Rkc^>Ho_$pB364S1SES zdmq7`i(I1dwJQBv&+{}5-;eIlez#WF!|LqsMXkPvG@g3-?@NEj^=%3zhU?o_o&P|o z=k1U4dhI#D)~o5b?0#*2b;=l0e_ z>UgcLht=)hi&{MoX*}c2e_#4I-p94)?OPA9y59Z-t=pahY~7lUmwn*t-uG{>f2?_{ z|5+N(<6ZyV>gRyz>zdCBJ)f>rdaY7>esR6>txE0rhS~e|FuSYO-ujK%**LSiM(u7; zYM)mz{Zf@b?tNX?!`JZ>&0Ad$X*}c2-`3f1tM~bwa$i?JhL7QE^BHf~O|vt63^&u8 z{om{JIolnM0b^QsS|L-`!>V1#H)A~p~y05s~d^XPWhUb(M+!~OmijwTu0!3LkFB#&TW_N#|68feXVm61JbRyDJl7szc&^ucR%pNeN4*}EG`_`3 z7bf9T~})ku)4ba39hRc zo>o_zTwR&H$NllgPbYpBnf()s?RUtE-_pb!Fp>T3yAc z+0~METn{oCX|9+StYw~z6DytlKuYSnli@4A|&eh!qnTBP~-b$z^e zkEiigSF7sO)#DYHpV#VY;0da$4F_0VZP9#IuYBohd@tsTl|A%5r-jW|_q~vRw_w%E zms|(_hMwGY_`b|iR~PFSUwSUni|7Z!p|{_|^uN=15ecBDpQ&Se|2r-I_Ys!5-%D6Z z2I2S;eQ_uF(&9^)E>wP@@=NFk*P)+HKl}}PA^qSwez)A@r$*$(hKvo_=P8UU~e?5!2Xs{eG#M z@eJoU#_Q+bYQ|%o#^YcAezRu$v~iBtzkjS5f5)#XAjHjg8jh5$Zs1JJosS}{B>_yRCzKeJbqq+UbH{yKcRl7(|0+2oABlF{Z8NG z^uta+==6;gca?vq?{fM!;Vb@~zQ^f@oqo{i8>z6X{5yS@)3*s<@$d9KPCxAQgHDe= zR^{L6>EctRZxg=a-|2gte%R>;oxYLkq{_e3cR4-kitH=?oxaEEhn;@V=^Lr?tNc5C zm(#ZiU-9qsJx)LD^n*^{IKlaM`Yxw$6Taf#>3f`h*y#tIzL73yRrx!8m(#ZiU-9qs zJx)LD^n*^{NR6h-zteX)eVgzV|4!fI^uta+==3-rRQY%ME~jr3zT)5Mdz^mQ=?9&@ zks5uKf2Z$q`ZnP!{++(Z>4%+u(CHfwb^e{c%jw&MulRTR9;Y96`a!2}Jk0rb`Yxw$ z6Taf#>3f`h*y#tIzVUG9-|4%YzD@Xwf2Z$p`eCOZboxd*C{^L_^j%KhCVa)e)Au<2 zu+tAZePfgJ@AO?x-zI#;zti_P{jk#yI(;Mk9(Gm!PT%G9_#JbyulRTR9;Y96`a!2} zq=RCWf2Z$q`ZnP!{++(Z>8H_o2In9>kFBT6JH7IB%HZD{N;-+s{X>z`rdK|hF0{su z+9Ky|2QGaI(VGpm;_YkD@>q%gZNRgf_4y*_BjY)DW z56suZGg<6r`*`T`L9cva%|6DX^OfVlyoiT>c823&p5mE->2tO5w33wKE_4&cI^0PK zATN}v85d2Jp` z)!y_z4=Lb$VfQ}fVR2XZ^r$2`S#BJo*qtr5GjzYWBPaUl-G)wz#x*}N7P_A}z@CyKb3 zr?_Tf`iyf_~sof^EJ1t_jMeVk#-Q0-XR<+xvcBe<|M$~SH+RcmD zZBx75YIjD&ZoAsik9iO-lai-L>~^SKliEEaVmGRGt!j5x#BR6R%~QLxBX)b#ZjsuZ z6S1RB$92`KcAXJBTnA8pYt^n4v1?Mh^=fx+#BPe(ZBjeCj%;B*Enq#cKU1REPfD@f z>6K6D*qdvl=aOdsGCBta`}q*q!;Z%_CWq27H8(Zaa2v+am44kbnda9s3C z8DKn3!|NpWx!gC^e>Vx>pY@FiUx(3W#qP25PsgGv|MxrptRKVw18Ps(u6z!|d5o5m zc^~hNeYCgwvU&D(ed#u@D!-q){8&Fme!o`xeXR$LyRb*cA4l5||_Qf84zTZ$juK^F#DdGlg*Lj&G9@lNiZW$hwb0YJ+)y;!-otkgBO~y5>YvlPS--Nm#zX|F(r9NQzT0a;iIrateLcU7N{bLfz z(LZ!txnHmy^$Sw-3wC4mi&&rFVf2sW(?r?gl`r581;mBCCR1LBAM;yY8c%}#(wJ{Z zrV)kxbeLtFA1rUI56;mzMZpi^`s?1NLJv`lr?$rHfW&YvVV-b5miV#eohWzR#E$RxUQP2JNBmgx&J+9cybGDH z#0xwzZ@1VLnWuSU=W<)$MQ&cKYZxz;Ezi4L?263OyeAMpR=mApPw~DAb_En@IByH_ zh6^>UPV0Sm4dBY_Xfpow)Ba7awQp1 zpDDjju{_`(+1rxfxo)VxRe8N1L6OocUsxsb!T@oo2VL(nwf^!56*%g6SnP^wpKMf~ z2)**j5dDk#W|sIB{GZxWi_(vUoE%90Sw8=c>d?wS4hsBS0rvyCmnHuoW&r#mv(=Kv;4lo{k zx-=i07s)>9P@cmkKkbh1jpWlKcIAB5xOi_3c;vG-;E~UI+#m4BXP5FGpB*AE49NU-^BLB7)jrwi;vaJS^^tsb zid{LMS!s2>%?WtqGcVwg&m!eLK3yU&jL7_T^J%1w#rsF>lU*+UQODmL$)`=`Dd*Gg z;vEQh`RnF0tnsRSveCsq5@e6!6GrtMVS7 zJ4Id?k@@T9(?}O2-alfW>~issI{r10eA;B5az4Lz@$LzDe3l11@>!$2$EQ!^g>IR@Za)1Q zui7VlF8*G}-xkSdQ0&V2Y;^H%3V7smXTT$$dzJV2Y!!K7K<2NT&#=a;_Q^&U|B&O~ z5Xon!*p>51>4wVo3*tRA;E_*Lz(e1zyvJv%$O|Jff8Bf<>HNq0N9>bbF8)!+za^4S zo6J+rXSs_P?^9cT$fq~pkT^1=J=mLKwYNO_OXHjx*)W&XPP^lQ9opY*x-dmaDINIrvNSI%cL-AIS=P6>GA zGd19m&m84FKJ6ke49NU-^BLB7)jrwi;vaJSyCV7Q6uWXhYh1jy20Zdv8}P_yz49KP zbs{f}$ozHlX{6^`ynn<#+2!INb^He+`LxMA<$Siec<&E*S&pLWL&M)K(qyK+8r=*By&w|N1Ne9jDbQO`|8OLqL9r|6v(CkPd%z=~w+1}&*{Hn7XM@NK12TWze1_=g<- zkw`u}#jc#ssEc=3z$2gC0grrAdH@GJJwA_UJ|i-J-FzD9c?0hsu}^ln_(vW8iAX+e zGEX_5PK}SvDc-JtM?T#Fk9?LZ@9|k8@&cYrQk-@3>C$}EKIu^2;%ImLrbs?LVpq-w zpCbzQ&rrZ4pN#>JeDFD>EPS?zywEN4*UhJ2<5l~l&&A*C_|HW085Fy6K99I~bM)ZV z@G8(!hCuI9{H?Q z-tV8)A}@@{{B`qbq~|BRf5bl7<>DW8{1+nmw8=c>e73lF?+SR}vo+w6&vxZKKKF{e zkjngZ^Xbxj)IRA@p2H?Tc%FxRjo%u{r$_9{`AlxA;DLCj1U&MY8t~B1QQqUzF7iUR z%wIR3evMb{lRg)Juj9WQ$!AdP%K7xUcvl5H@>w15$Y-7M9-p-$FAT{1b@Lh4c-21H z=;9x8{5_F;c8Xm&pKUJQ`vV^NY!7(kvs-zO&rXpSMr8iF`83k=Al^S>&(A5t`Wkil zd@GVqo6J+rr=8xIvHg#DI|3g0%nEquyOsC&oGJ1`D)ZOPr%Uru`=mp84x9Y6JAPXv zpB}L*=d;emdwakmpSK1)^4X}o$7h4c3*9n*-F*5rUbRp9T>QO`|4t;IL9r|6v(v>p z8t}+xSHL45d~OtYdVKb1J_9m;-F${MUbRm)y7-42|J_JFJH@V?Pp8IbIOB7yR)5H+ zJK&Mea^*cfOGI86k@@T9(@4+3c>jofg6FbT`^WJQM)GNsdCK{$ck$xy^ul~L1U&ND zqP)jvqsR+*9!zo7%?Hn25x?3e9m?CfwmbfzNIpGcSI*}V7jLemI-kaXM?O=P_xLo4 zywEN4*UhJ2<5l~l&&A*C_@74d85Fy6K1*D@O9LMDc4fdLpVi8He0oJ*7?Anv<}w15$Y-7M9-p-$FLcZNb@A!fc-20^^Kal~`Sd#ePmz2E#jc#s zu#0yj;E~V00grrkD(~^xF7m>F%wIR3VU1Vq6Ff%`^BHpdo=84B#jc!B`;pc4))DZ? zXI8)?pKj$nK4*%&Fe3BU&8Lx`r}F+0`(&4kf7I~@(fg_7zvlhMHkqfK&srC6f50Q3 zfq+Lo8yjA1{Jl`MJ{?UBYKIu@NVIV(v?jPbsACSq+2hZEduAI+K7w>4mBcELX zk9-=RBzSs!_GmucGJjos`ZZp)Px@T^y^cR5lFy*nmGe1Mv#9{Frl-s5wp$O|Jff8Bf< z>G?44AF)q%x%fvNKPi$=o6J+rXOD~bk$^`&Ir@YD@cJ}Gd5_PbA}^#ef8Bh#G#|B3 zI+V9M!TS~A{%MZn(<64}e6DoyE)RI*(-ZK(=T_xCKC47t=$85G=F_k7s(sSu;_r3* z(UE)x#jc#soi5%j0grs{3V7smzw#cR5s?=LWd6GO3~Rh^8nB%hsPSI%e3 zl2vY-I{x@bK7(Rc&Zpz3>Ux_M@W^LQz$2f9%6oh|MP3+? z`RnF0tnsQnzpoVbvmuvHdnBKoE}z?7yl)M7Iy}8H*spsXzc`Z5pxBl3S?l8M z4|wD=5b(%ngYq7qw~D+lAoJJFXISG^`(&ewf5`DmBKhnTyK+7|UA&_Kk9>9oJo0IL zs^IDI*`xW4$ozHlX{7hRc>jofvdhIk>iDZ8`LxMA<$UI8d{(z-20ZfV40zY( zc-21XbMf~&{<=s$gJM_4XSa*@_W_T5_5?igX`(O0!S%}H(~#4o(fAD4r2&t8t_*nOvs!tNPp`-eBjd~m?<@2E5qo~$Im~C&<#R(M zpEjANjL(pZcVoaKpG^Ued`6V__}nG(LMrpu#Ru;@BYw3{I+V9{ZFl^tNIpGcSI+0q z*6Qm|Q@|si$pMdiI+XYLw2Hid_rod9y7}~LylS8Hx%hh>Pk(MguYBJB9XCBT&*AS? zm9Azpij&?h%w_!@H`~$Qans}1TswX51MJ57JMICFqp5(uQ^DVvK9lWhzaN4g!y=9n z0-(RsP3Y|-dgT-Qz6aLR_W;nx{^>89<@*arPoE;gD__`NXMTDd$n(!38qROu=fL{l zdj=T(eVCsf|M2_^-Td}_4Xpng=BL|zo}VsTRq@;RJFuSSN8I-P4*Rk`bi2y))3L5< ze)~QM*8dIj)9ne*-{a=D?|Wc{eq!_gS?h?V;TP(> ziRzr*uA^7w`vfo#>QnXly$?-=b}~er%l9@k$=hI5NA~>$s1F*qUh9hq`UIqp(!Qt1 zvRHrqo(SM*af&=Ge2DazANIf(_nF}B`w(>AvcJp3F6s|;ndML96@Sl*%tIfe;kZ}n zJiSaOm2o>+<9mK&Jn9*F&(iU&OedAs&BiYydDgliPK@s+iah2qtsTEs^~;qKp;u-7 zfFtnb@9i!$9Qj9l&&ELw>mDU~Nbo)0)<^Aoxwp^c{$KtcnXNPn@c0$wjlRmXk-tuh zH2LITNIr|cAA^`2;a;H27`^Cw^LXNX`Fn3VNlNFE@;ci0<9vkVhCjxWdwNyI)5ij7 zJz5HDiH75MXq z1~>jMk@50@iOTv}{zGnjaI5l89z5Neybb*q{#Tw zBjZQh_+ujDvEQ=t-{!{8h>SlYGJd-oe?nyZ(<9?|xbZV1PGmg3{w3Uh7evN)M#iUZ{Nl*?Qe?b-Us<_-Jufo;+{k$S z9<%cJYu$M3!za_q%Vo`e%}(@B8`edz601 z-?vnmZ@10|nl&H#JLbc`c=7dvt|$2Zrxv=7h%}!(i~o~<2b>XdAEoP@##`7-yj>5VyAc26^6!v^tITWp{ekmoD4atcF^(Rq@cJ+fu2VP<WX=IOeWE!j^a1YlJe7KGp z_k^`1MZHWRiaME26zj+|SV#5SqO^G_*^N~vT7NZla=DI+)yZ#Me#eqM@?#q0hdK`P z13#Yp+Qs#%(Wsz~f9sc$Z&GNTg z$Hnm4?eIE|?6D3^1H6D=h!^qMD{ zzqgG1+(azB^87t<`2N0=h@-el8;Rn%hZGL|9Srknk@c1DCwoVl%T?uN{@1rw*I|du zYw;DB#;@mu&SO^kSvKjCv5>K3@<_tdD&Q~08ABB2k8sN}Qa^Nsa^rc-q zzT!vtw8(n$V|h{9nK*1|t;PZOPw=Za6`0S!p^<>c{juSI{DA}F%fdnR`nxzy1;YXI z5QiS-_q|W7jEu8BA?MH9{&J0uD+uLs4*TV=U7x`HA=Za!fIsRr>=WRT*LJ#;i`ow)8{B$@!!ly;nlOM|~R-dEl_YRs5`+c6w zTdq&$GwQQT{Fdtz`J+A&UsippKCy9DpOA<3*~@%wpE!f$z@f+C!14eG)D3XJeJ0kY z*R7B6X_55-4zWIg`%U1$G{6D-7C4-#e)^mr;nO1P$&cj~!y%nk-6wFL3cuDTn9snW zU;LJFK>ol1@nzwl`ozW=4v>d9yny+5pV&kCtaHH&Bjcu!9OntS&#mnfeL61ICw}Gn z#Mxwz^9>jeKo}>$K`VajwjhFb(Px`ycg*yeY2IM(0QPw8(n$V|m5u zbDsLOKGCIqtv;F0sLx^XTdq&!kNQM>S@o&<#Ku{DLLS!V^~~4ea30Bl!%p^F!GYxg z4%pAY;e4?#jk@&_J}t67z+ny9<6JjD6!mZCiD$|@3DW=v^cmpLE&9?f=STRo$a?Z) zdBt#8I<2}-T%djp2j(+yXe5L1Jc0ay1LDiVLG_7^GaMifad-*y@%y2*F5U%XkA2I- z=>CWKjCe(#%e_?RZxqVoaDJfm;eK*E(X2is2h@1~+8r5pqxh-0|C*xy_C&_XL7wZ8 zEGqXIic9`s*T0@cR#*q7L0xu|JnUcKvEKFi*Fv&F|6&^SFZJ7^wE1S9uXf*DNOJ6( zHm;M(zF~O~AL78ixk&6w?NV3e{v~``WPPx2tbeW7da(PUi)EgKX|Qk3B{}vD#!+0Q z4(CVsw8(n$V|m5)%@$XO&sM)QExqyu<}>QBOZ=Am7xKrxL3~;JM)iq}vwb7@Rh~1V zkC5M*^X4Ta1r9yzw}J!9102wofWu<3FZD{CWgLW0i>wcDu>N&BjSKtN5}7Aq8sLDw z2^=m}KYh-R@M)3t*H8^@)u$93T(- z*DcJ)`-D6fuRX84JTh(y$#Kq+=i#;EZq;!Gq2+$2`R8}JKJgr~L!V$8;Ez5Z_6hLg z=@U!I3VniU&?nSyi_+#-@O-uPc{#~ZpM#7?r9N36#D_kF`n*EyOGAQRxjuzYi>wdo z)B412*C(DU^CV1z`b7Uked4(s`ou=(NBFeJdh%m=#p<(ZdiC}7dFq#@rB}Ydd`5i^ zi{Em6B7f8;;>)T})h9O2>J##?K3~avEe?3z2OM^?-wF;a4{$(V1rB)5hxHkiILkN) zpB7mk;DCLMbB^y5%VnN~X@CRzJ#e^6^rc+F8s?RydA2=YsEF4sy*f_%h@(_o$%*Xpg?7rqzk#W{1_K-g7{PJoY7wZ$hbbaD# zvL}2KrUCxAZiIaTJo1w3QT(|Ho)e)@Fb(>I`fX9#{2HFGwmw&q9QE1O06Z%7$?_mR zTsKgk*NAV~(I@jHOamNn9Rm)y-=w%oea?^YX_58h z$MTBdFyL^wUi}&l%xB=x?{Gl=zya}P;h_4&#u*Nfhd2x{AHN^k`|{gjOvE@ zjCe(#%l)&?-zb#Fh4(}2NuJeD{v|T5iR3u1?2e54*T}djI&M#7+&Uc>>tDZc{c9E3 zV;z_Vb&2b3*uTJIz2`HH_pcX|9{r1H(7)7gi_+%XWS*LH_)AEReKW{)Qt4kT58_)+ zcGx$#PenZsxq22pEwVn?H;c#~*H>FV>tCy79{vDY`2G;C&)7FNs-KO{kML=c_2kF$ zitU>bSBJQN#roU6VLqb{hsAHXe=VnR*f)qTYu_vng zbU3g)z~O4L0}gA%zBKCANBFeJ`Tz&;z+pYn@P6oJGEc%Z=wB;H4jgdb0K9iOKfbFH{^IK$| znm&PZEb6n3@u>6(mIv|SJb?QAC$TTJ3x4HuxbSI_^+A1FpV&>~ud@<)9lzO4FG zePZLRJ|Pe5^R3L+_KDY!960ni99SOUfb$4&=okA^uf$o#LHM-D`T&PmpLngzlQ0c% zz&QyxyiWbd_w8435I!xkp8Qx|F&tVQ4zE|gh6D2%IP^-q^YK0rdv5ZM$T;g0R_FfnE$ek$L1_8hqxt7YU7r{rJM;;r0sc5&g?$1%@|w>y z-Y5Q<^ym{zgFc~tTa-4xQ|77Z6F7gNJ_i|(N}phP5FgGLs85``uz!bKeF~ozSs&D= z^@({}C)Ov{$vg?upgwUfLVe<#06!a@AK}v?>&cJh6|2uhu0C&G& zKk5_lW!0zZ6B}ps33*tb?_$2TPrQ-jz+tDuf#m@XI7b18+r_>#>efg2w8;7ZhghG$ z^%3jAG{6DpJ>c+W^|QRw*B9n9;uU=^_dcDUmW^JO_g!dx_}sC9Xx4cJa^ zpQnkWW8Dw^dt{vTuRWyC^7non7wca?bN%aWWRG=V8q_7um0|w^kM*9fXq|VztFcSo&{}OCzbxi@*qB(qp)w#ugSjDE_GGDz6zffSs&~h>tCC+ z9;|<%?_eF62Kxr*KkOUq2l(l5euPhptS3K~S8U&msNXwiK0MEPxB9jI#e7B`c8TBe z^%ePJ-ypuMeWUus#@W7sJlr>f%-8m>_mCVo^swIw4lECFSW9-m;k{yC>UHZQd|G6E zfWsQH$NkU-qNrnge;fN4I4})xz^d9KIcdHw8(n$V|m4J7;1IN*TzvT#^SQtTreXE;C};_yM{<9#Bw-#-)?H-+S5-46}vxLBX~sp}KyN5F+? zfd6Yq9`*_F$ZI~+c%ML@LZ4t7^a=IbqO|$LGEYsP_yEaKpM$PGSsujqIeKp!t-JM!J7k`OX;7c9B{}L7IKt0H=STRo$a?Z)dBy58*H(Q$1e~${ zR-epg)aS7HE%yoJkNQM>S@o&<#Ku{DLLS!VN0_he6R0ELu#^2(`UJ}Z9B`fm4#*qp zGwRky__WCS0EbwgKs;CvrU4EEBnJ*3RX@9&AK}v?>&cJh6~m#`;jmHt8V<~7;Lup8 zKIb5R;DGqDa8P|>;|vGLLmc35tn)hNItgW+CqAy@C>?rL&OMrc{wJ%1pK2I`fX9#{0W|~wmu<8eYPxOjNBOePXlBlQ0c%!2J<$__X@zbAE(Ri>xOep~!J_Cn-@mt0L`2z>UmxY7s6B}nZKpx_Nbp;OUpLVzWotf?14KCi#kRJOM zb~LWQd`7&Y&*iZGn7>hId45_SK6eZe&FUw>A!}R{NyoY$0uEW@tbgqxZI(Z*JI2v6 zgO=m8b=c|p*JsHJ>%cUqOWc2i{R=$SyI%kLFS0`aVjA=>_1mJf8SAZaR_&X+NQ!+k z$aPZLH!Kh0!~F;L&F93vH00`8__WCSVBc8(+M@Mf{p<5GPr@|VH@H8-zWIXs+35TT zpB7n9ek`xpzS-vLaI5;YeZzc49S)1%^1ea-*f)qTYu~6ov2nIefg2w8;7Zhc#r6`ytymc0Y8t%#$z;aKL>Sa2QrUyPO~4 z(<1B1kL4A^VYkEK%j(x~U_Jwf#tGHu9OMri5MLG!s!wd3;Q)Dv1L`|#zgwS(?f1_` z#!VslSocGy^RfEGk6oX*hpf>jmhtUBM}8MCIOnk6w8(n$V|m5uGo2xR@1Xhc+~i*MYxT)|Mtycke&s%a{868XFRMOP zpV&C7Psqdi+{%1ypZEsJfkThOf#m@XA0#{A@J+EV^*S7cPm8P%aESGZZ^=9f(*Oso z7jW37e&qN3Dmd8h`>~$t=q|4r6dAEp8RA0~O&C%_}G`Ap-_ zO}ET4a4tpNq&I z*Rf8m6YCS-m3b1TL4AIdxOUzb`+yBD-v1?Q>{}j2_e0EQ#4Gw-4%acv-zc;^Kc&Nc zXcN(_`;&XczGj^DuicSxxSnMBv;MUwG7i_1vHI5!UH|$4Sz{fT26c)1%dmgR{bv3C zwS&f?e=!aEm-=l{+WalD(>M1nA`{qYtUuu`Ss+@C} zPm8P%_Ko$gEm{xOzkV$9Bus;SgZo+Ro1N;X!}$?DEwY~cSYBjSm6z6Kew(YqpQvBe z7nske!!Ge#KIb5R>>Ih?uKhj*)h9O2_6_9WzS+inZU6cy$$>);`>o)>@&E_icL0Z< ziG8Wpt&i|&k@W!%*1tBD59s~_ zE$=_8(;co){F1EDCzuBK<9;mc6LNo6zfbIlkm>`tCF`lrWL-w*vp{aSr8pHZK~;&cJhMP`{ev^yOBsD2Fx<}+|;oLGI%LH@u2@n!W1)h9O2aDY6-VLS7+=Md`? zvHKyMJAt1+zgVA$-48vW;|fA+`os@hpZF8mp-(Uk@V|%TVV?kxyyi2F-w$E`p-(Uk z`h@yzQQD02ZdQH%nWU)CHpZh;pDYjJ`zqO?KK~;2rFOxua-Lv5EwVnSPwNw%S|`>g z{-4Z~Fb(Q+gyg8tN7Rq}o^$1XNcgnKdh%m=#p-jZ`n`kZ!~M{s>euR%`HcGPlKjej z0{Npp5non)sy?xCR-cfE^@;n3EFAtyQsB_Tek(YzJir0xW#F(^>`T3DUs)gF(<18w z9M+IM`t>HF;dugoPltMB8sPACvI7nPnDnJS=STRo$a?Z)dBt#8<8Z(g6@Co|<}+~U z7r$j3kUwxhd|5cCKCy9z1LPqNKV*K4`ym%EzW9jONyZhJ&xn`R^v{oUe&0`MefYex zg=p6O(2pbItbgr}jKleQtp0`mkmV2OX{|?4%Ch=>vj27ct5Mg1X;7DV?iKbg@L2D9 z{R_YEi~hwl=wIr$MQQU-dA{0nIKB{@a9J?u_6^H}_;8-XzL_BQr6E_(!ly;n2m8kQ z*A}e@>tDDYpdOe8`v&Jt?3;<|XQT5Yd|G5Z`LVoW`(~S~!-LhY?HlGZ>TuZY8|06D zBj@4TbB^j08)y3l@^IhaJ|(Mv;dg+6!%l|-%L5#~N27tmp}Ib!ZheGLi>wcDu>NKH z#_op>)Ae8);DB=ra5!B3>~emDPm8Q4KbBVvhusc`Bh;_qzY~42#kLx;{(?7fTKintif3^MWA(tP1PZ;?z4f4ae zBFsiDy9@@2AT7h~+_iKcvyT^uYr$yEW{l)q`)(O{1>+|@25!46Mpug-OJM@>M)K7==BYaw9J^8V`V*MpO zUi{iQ^(pGt>YVwE{?a9W%jcsXQe5aSh>!aP^}W4&E8iok`ozXre}O#gFStL=!U4Zm zjXvMQek(YzJir0x5#WH|gC_e@uUjAC(<18w9AbU`7?~$w8sLEQ5^#8``ss6iginjC zCqI@~42O1y!_(BS;lO+b4*lY{j05rq4sxEW?enTnY@Fc$d5FXBnUD90*!?W--^c0` zvHMxvuaDIy9&ml4Ro91UfIqIMVV{ueYyJK?jpjrDWE%7d_1mJf`A?NNtNO%riD$u} zt522(@%@6vqdt!n`_hoB8{yL;>x25VKGCUlVtt}b*MVtJpSVt=K4++(jn0qoX_58h z$MTBR=TcXn$EjbdPv$e~b6EVA>l68-KII%yTc4^=Y@F36& zrBARtzyZ%AfkV5l&nVkh?q`Khi>wcDi1mpRbUl~`IN)3W98Od}yPO~4(<1B1kL4A^ zVU5G#B=u`JFrR@#BUNko{vPrN4svd(#XDHP#>&su-Jb{Xd}pluw!8dJ*8G?T z`QiE<<|o(l`uUxr`7sUhQ@<@roALYwacUmSh2P)2!PVO=jT572T!HzFdJ{eUHk{7i zDE8&~slK^Bwi3;HJ|HLP`1QxmOTaHYhvWH37G6_GI@UQA&lSeP>w6Bb*<_FN8PfnS zT*pGZXB)Hm-=l{+KlHF8fVq@rzr6(Xybie>3b{>;=}V*^u0M^Uuu`W zATZH=i|}cY^+DgW>(3T<{W(qMNtgzG4}A=MZ?5|3aDIeOi>xOv}K^a6q304$n|Oea?^YX_58h$MTBdu-oBqrusD; zn9snW-{FA#frDHJYH?6~V&e=4>BnTRFPtZ{_IndavEO6oR6GaE8fSe1_gz_i3D3P0 zcTncSe)(P3C(a@Z^a-W`{&-Fv_6hK)uX@id@%w`46HJ3Xp?+JGHskr1#z`w*i4(sw zg!9oL<5B4oEDz$t2-Ih%*q4S}-3Xr+Ss&D=^@+4y*3ZtVC7CB-8q_Bm59;$=^|R6W z5k4)lp8Qx|vHEOPzji-6U;SErGM`bO!{WExCy+ntQyOD!eX2gOaaNy@hkXLi6SHvW zlDHP^bU3g)zyW;`IGiW;rBSy&!ly;n2ROv~#Q8E$!Zg4E*FWI!O!c$N`4K)XvYz}{ zUNIax9S+^<*KlAy1Bb>#tIw&(A2`VMxfTc2CpOM-fIP$j&s%|mKZn%o6L`LwHO~43 z`cGD$K;Kc^L75Bt#CKeuxPUCsCzuBKWB#yDfFDnvSU`5@6HJ3Xp?+JGHsg7y#z}}* z;(Qj#(I?s%k4m3lc@Q7=BkFUZ*q7P`KiM`kpYUms^+A1FpIEAOVtwL5nI~Zy)F=8b z>hmJ?)8YIGPv1)oJ^8V`V)ePo)#t_P*Xon`jQZ?y^@;pZpK@KOtxwe_HqPo3@~}Sf z95@SyMH1J79)|e9I`|IVjA=>_1mJf8P9(;&Z_>k zl;r4NgKpojJcti?W8YjZ_N5_L&%&og)(88>`qy@?2kT!~$UF(tVBZ``a_pPus-KO{ zkML=c_2kF$qPnQc%hrFl`n7Y;mFm~_4f7dwIPCTf^2feGd|CTO^@)wMeFJ&8Z>BO| z`#$;eNDdr!IviLY;D9;>4$l|+(x_V>;nO1P101Y>*}k#+p=B~p!Zg4E`v^EJS3kR) zAK}v?>&cJh6~m$F1lg~K!&U0na9}e|$bq@Q4tb6_Yp{r>e`UKOUPpID( zrOneSaaQf89*Ji`8{<(q=de7859@>aTq*XYcEL{@Kb>=!Pm8P%>eKo}yVi;IiECt@ zglSNpzz_9#t@`P3euPhptS3K~SFAoeU48bdU#m~%GwQQT{Fd*BkU#2E>Z`UsRiD^6 zt52ybve(yinkTDYUng-b=wZJV99SOUfbqcL1!7<7b?YO1T4a5IL#$7{Q07UP1~_0{ zfkU7A>2rRBPm8Q4KbBVvhvg237pY&vf%yy^`W+7N0~`eRS{ziL*f_&M;;Z1$M)Lp% ze-5eFCuT&(S)aiDNmidYPRGUi#DBX!aXs0iPcRMehySooNIdoX#0@kKeS&GwC)96? z(&pnUaaQ$-RT9sFL06wF58`VlJJjck#lAG;>PGmq$oil@txv3Rec~lDPr@{)PsEA( ze5v}`===yz-%AZW`LVoW^?AFi&(-SJ>XZ45`W$xkiTqKY;;*(oRiD^6t53+o>zMGh z=ZPC7t_3?C4lECFIEn0l!%bpe8g=Uol1@nxOsRG-*5!vXRThYsfB_e0xVytj}& z_AO?i{!(B*BVN(xax-;)--l>@_`(X4)Qa%7zKuicSxr$okC|JtqjAg=IzrCB;I z*1zs^{p;mqk9A-g)FtK#`FWBn^VQSh>J&OgaK3DaQToJw-+o3-kv!}$?D zEwY~cSYEMx)2e>$9R4cxYx{=zj5_QRzvXid^2feGd|CTO^@)wMeFJ&8Z)ALJ|9Z8= zwV;RnR&Zc>fWus}0}ihd`%jNCDf7!mV`=NfBhkqB8`p`{?mi8yVZwDM+ ztA6^NAK}v?>&cJh6~m#^;qW^3YdA2UfkVH;0r>-m(}-r_p!&qd84i$#ILu*w-_JSX zw|1QMiKUvS?-Sxb{@i4n>l3f1_|PYq2Kb*r@~}^UM_%>b9~vM#^a-XxpHROoN}EsT z`D*L)pGi*fEEsh4$?_n+GszD1`7dH$8gg|bd|G6EP@mQ(R=Ga$uQE@QnWJjkEfMJgm=o%-7=Z z29g7Zoel?<2RNKVcEI6{VqY3{>mz(xWPN}`tWVr7^CV0I9L^>=aCnpY+2#BQpB7n9 zek`vT4nq!yH>+R6f%yy^8jq+x=OBOJfcUa-P<>+K3ln*}_|79c)aTp9zSJ)GRn8O4r$yEW^=W-#yXzD0ka-fOL49_S z9QCh0{Npp5non)sy?xCR-cfE z^(pmTi^IDlt_3~pw}J!9101@^4miA9>`T3FeS}YotPgOA^@;b$JPFePhi8%;IJ{T= z^f^Dm)Av$CPkt<~7!FM*$-c95-M^_{!-4q>9Qqv&$R9W$zAPM6pV&CV0rC(B*tbgr^jFUR69cTS(k>=yi zIp=GfvHPKKy8g96*MVtJmlu*e>|fxq-u3#|zmpyM7t^4Bsoxf*&4PFBzIngIvtZEe z8TuZY8|06DgZQ%cjp`E{XZr^7aNo#2s>R_$64!#A4hNP8 zI4mYR;4mcirBSy&!ly;n2RK;&vVCLsLm!rT5~cwTmyjGdd_?{1a(;wQi>xO9r^^*piijZ7NyP7cWUeN;}Xw; zHpZiJePwwN-{oY7`uv30m)Zrt$~lMmw8;9PJ{M6I_&nz}*C#f~JPFgFK9`al_4yy_ zr^ERXJ}t7I{8(PG`W$uj`Jd|7>XZ45`s@?Z51KJjdx zr*@q6iB`>XCfSA0SEa8p?lg0rX9+)dbFb?YTgU=^f@y&NGLnaV0zC4n*C#$hcIXpK zgFc~tTa-3SKdr6L&q_QC1{se^eX=}=Zw1+*KL1PXOGB=1ginjC59)IfWr031PuI`R z6L-lx3DclHuOd0>^K zS@o&<#Ku{DLLS!V66R}h_yWn%Cw8*m3Jxp}a9Bxpz+tP{mqy+C2%i>NAK(z{6JL~h z5~cwTJtPMXUs6B2oFC!od#Rx(KbBVvhgA-TyVbAZzdr)`GFr#eqSLw=7rT!2K-q8TBUmTu%CL?fOxDbA9X}nspsnu5tPI1!?>6xZRO)(pPHz z+4X0W=HstF(qH0uebwRhRb20;*B|7MzK8g@k4>!po&wb; zHqQDU>InE z{co8kVH)7@Qj!CQZ>yg^=STRo$a?Z)dBt$Z&8$AB-miWQ2j(+y=yy0Ef8c=lvT#s+ zV&e=4$U_{iVZL_1Tc2nmeO7;w>qPB1>l0HnPv0kc)nDv>cEt6G?~pzECDQ=^n@Jw_ z3Gm3P-gWD{WQRV%H0TrRw?%2QTqkPl^LrA{f#`eZ(%K8Ia> zB7f8;;>)T})h9O2>J##?K3~9mEe;Qn9Q}Hy!-3@i4zD0P;P5|UUmA7mBYaw9eSkx( zPyDaUlQ0c%xRvC<;Rotxm-8chT4X)>vAkk9^g0}Ns9(c@`3xKyo2$>M$R9W$zAPM6 zpV&CV0rC)sKIUupyY-12>9hL8iz4H!Pppmf3Ayew?i5F!X9@3TzvBAD56J?3f@y&N zT9Su-0zC4n*C&2NcIXpKgFc~tTa-56!1LAC=Z{Iw=LyE6avfuN5Z`Oa4)wWH>`U!} zU*$Z(Jbe#0^g(@EpIGnu#7|_NglSNpuO>O_^QY>k!}$?DEwY~cSYEOE+~n%>XX@AL zllhGL>=M7_^91roeImZB`c!>l()nj`W|lR0~}&~;ukVc!Zg6)wIl})zf?bc&d;32XLROr6YfHG3DHZ4=9OH%Kr_)cOqk2xee(@3d0}I&Fq|Wr5WTAJ#%17V&&@4s zfSg{Cm#$xNDdc@!XP*yx=E`f&1noL&*>geXKX?8u%%7UO1>?@cz+C>zbo>Rq`?{4Y zS3;j7iuqo;x`+Mr-Ei%7kYBxQ_H59(b8@pozbh}gY&qmh7A$)v=ndB_SOR*>xox2$0us`AVSfO{5pB(h7SFTwNdfg4V)#3cNoHv{2U%2Roh0tI7{H~>- z#fxuwKIokDU%+uat0-~V{Pb~4_^HY3`Ws)wdA{Vjt2saO4{PN8^wQ!k%zM%K*LH(0 zy=EEb-&bnF;U;BP+~bQ{7cu-&4&_29y^Hs zWpBFq;zjdOzniBsO{cJZa?Z<}*Osb6qCOc)1FPf~vy)F1ZsSoN@YNs0Yjx;VEatY51GqrM)V ztbXwP40Tt}kLhESrW4$WVm_P?!g>8T^c&>m$L)!XGkcrIk4s6B<#j4-#(RHDb)4yKeoy_l-a6xKK0j_vWSrTXUq5c3&Nv(I z$E|nc%+CB6_2Y(g+;!Klx)J+y_3ULhS8QJSqE%GKvR|?9ZT}ec`-tAY=DOda^HKTI ztF~{Oyy7o+}vSAp=TxIV|W<#xSXu()j7`jDG!|UHeXE+7=NPs(zngu@^Sj>yY71SvuxREbJ-tJ z_zUE-R6fheagN=bTXx!PCqGr?r#g92p@pvwHo&Un{T*2cOCXasjG|Yb@8R=GQH^HC0u70T*UWfn@bmU zRq+>*0OOaD0qDh-EV}@d%rC&X+Q=bz+y@Z9-qr&gp0gqDzwS+oICqmi zL3|jui~dDE;1Q=$wJ+#A<$N&;yK??&SLW-j2kf)f<1mur`JO&+s9BEHSHU#SJV~R7kV`w#L=L2Y7}}l3-*i7SB?h;hzAcM zfDiK&&lcs?KGEkWHS4xj>}ukZ_0AUt_Ax%4uN>b5nji7qD}IX1Q+y-Jt3CIZta{oe zcI9l=24d=6?fOh4loyfX^mfAG5Q1vi-+q0gg7W z)f4(V)@QQ#D>6^(gZtU6xTa`a$P4>=1JN)pyWVWmxXcdqk2;UVW%F8G=%%H?0-^%=g(PoYe@<_dYD9XQt~vIWz09Ac1>#63bPEm z+ewP~TGbA5X4$P*yIE>CJz}>(?K;)&*ofVb+AUJMwus#(wOg)sGa`1_x5#6a+8r0M z+p2bJ)$aI+-H6)Vu6FGaJJc`yZcsaYj$DK9cD382c6g4PwQt)Af9xN2NA<6EW#0C! z^*w*ifqmA#mGeQvI^3sb;k#V@n*R-C74DA|!zi8+jz8t=BjUWAr0Bc0uh}fvw>Gcs z4_u$IKkz;%`Y!XdKhQU`)?<}h54EdV4_r^MPyKqpK5IRiNRI2`Os2K_aY+4|{|#gp zt_S)t)?-xH!|be&#n!{-we>*X!Ft@O>%ly&hsCAykXHJ{mO61Ef5eqiIo~&|drHLbBIj4_YW&9P74}(vQD0c+qv>C)^HTOx$#=l{Rl6F$ zWR{sP?6dsJxxHZ>?$fjUZgqauuEuXHU)X2)B{9Fy*KVcHsmOVvVO=Blk;yk94;qB%GGDLmVV@Nr>JoV1+@0mOm*k;ewHvoz*k}1gUBWNU z@mYSikv#OPcH{O7`z*hxOZaW2f3y6y6Cj~qwX5+P+i$SX@{78J-)Z!3mR~!suo>a2 zb~S!u^#}Vbzo<+2mFpLMj|RW;g@RtkrW2nP&#@|3ee^oUdb(b)eQ@1~#n~qFuH-O+ z>(~tS=dWWbzd*+kI(X$1mDgOySWa=UeGm@@X7$I%>pCX)yAA7(BfnYe>#t*KSH`E_ zeujM(-g5ukunzZk@axxe2g$?hiQ3ipjjb2#v;1Peq0ZXr->iK7bxiGQ{Kobb?6dr~ zk{o_dpntRc`s@lbtB3o{9Nx+l7{nQzHnaLUt-?nVpn9I z=9P12eBKRWUygSp^ObmUABK6cuMscvG_RcR;`46Od8g_++~wvyMd!U!=VhMeoh9;< zMc%~gg!R~>cC05mIp@XwZxws055eJH<|}#4CLVbWi(QdJR$>b!K%9F08ZV<@sL)yMjE|smy;GNwIFdIzRI?zdhfG%{%1gmFFXsdFSf9n{;00 zgLxZCk2=7=VZ2-1yz+dbGVkeRk9g5Pu+Gc}^GXA$op;2|E6*n?^Ul+Gx9Pmh)4Z|g z7KYz;H?KTjsLXqY&bveBWj>fUwhp6iUU@!HnfK{B?{1x!d72k}Zz}aY^tZ6@H87ty zJ?{(DjrR{T!lQtFfqHop4a9Q|W^;`b$Q{dt&ljW)Ch_|P=wHi80c!dI8h|>*ei+N2 z>I?6x<4@{iQbF);5(@x_%#)#(5zYcVc(49PxXb3b^N7_ z=Oq3;GWd~ttj!PmX)J%LFMO(wzbWdkm1$E!>Z#VBoy*A#e#__bX9&Mul_8ayYf8L_da3p`e`k;n&_&oI?!RIfmU)bj`I^rsu$uHc=s(!MA0dkQA;$(IkuzzqI}Xu(jMwBXNmqDxbGsn1pkKTGwZi+ zp@l%6ut%OugMPJ`~R@N|@ph3t*2UN9c;a0`@yb4JSa-h8-OLnaXwS$ z-=8=aQJknR?B@$~p7GYfZn8(b=MoL$Wqyo!7pR~8iI?j4Ho0$XShsK=FI?s65_qSw^^+g?q>&yHY@h(z7`?J2C6tCPrH>|s4ALIR< z#(SQNm-#W`#s1#keMbH5Ho4DjSSQz|@%ORclUJ-S_Gh@h%#RW8We9ga`vUdl+t8;` z4@;SjKi+exKO$c2_b^`O$B6fG^|L?qK-ZJoa6Li1+OOVmgv>|L$n~(BD8;jAh$!9n z@b|Rg`mNW;JnJdGCEAD4XK-Eg{g35jH(&Eap9u40evCY?B>Vlvg|45s;d+TYpU*U3 zljpNYK31Nr5AsBR%F2`FWOtb6iT)Di$^003F4Oq-2hUc@Q=X4Bth-9*nIg1|=S9Rn zH{H&QM>$F7d zFJYeiVc#PT-1j05)|1@MC9EG~Jy+29eW{yd)z=RH`InD8`r|)4>)c&LkNd(FnSy5d z_=6qqxUS)6C*__qcUs?J?N{U;eE;>wP5JM?CNF*X=x@CJ8!P^_sWW%%Ywmu=!4uo^ zU+SFL{P~BEA9-lqm!{;8p4_mb^G%bFm~mg@In90ing07udRlMt%XH$~^6&b7$J<}~ ziIorkVfz(V z+CKc={2k{`NKX3De-5@Dw(8C4!sC|z)8x6=Hyrz;Z%zZ)-#K*24>0D+M^D&(<dC))XlH)UcMjY3{0D#it=~-gYJd9Rg-6~q z<%NH4`0YEk^B19f{(m0+Dm;Dt?K402lWR*SuYTX%d(OH%zvGa@Fa5&n{xo^@5v#vn zIOy$vyc*eGddf16>X)yWzVSIPU;Vi^C-=VppH9#3{p(A2Jnd`!A8lMQ>)S^hHfQzL z?g_0M{`J^{nwL&&KB{5O?MEH+q<_Dl@t@vx)u)?ilKd-=oq63Q@4V&b-Jg8<)SrB9 z!qsz+Sb6P8-(g#p9P;q97H)pZpB`!I`ra`MA1yt2(aXN@&Iw;@nDm>o-tgr~|FiXw z&mZ=(Z$I^8pJ;mcN7J@{Xu?g8&OhhTlYYMIeG~Rx)BMrvkKf&V;k(jz-f`BsNB#1@ z8h-bMdmh0$UiQ#+-y@Ry=U1Nm+N&Nuy6+PmfB4YzpK;!blRM7-!4*xzpDJDXotIs7 z?t88;{q({QzwVtA7Ux=4G=B2R=ED}J@0OAMtj~^rclNJQXv&@fRom zc-rfa+4|L=ys!A%XB_m=_AO_B<|$1d|72)dw%Z8+@*AHbuk+#yf-+<#)GZykH`Pd@d-=Y8Z`7yS7z>!0za3B4~q z`wMSq8u?x6U7wnI?zvwadfV%N^Doc**de=rdf0?z=N~!P^W8s*5Y=@+ni^Fk|7}JN~`*oV62&-~HstpZmerpLFkxDIdD>$M|xj+$kSyz2`4S zzKkD9ysr#7<1j= zThMKCr<7*wc=u;Nch0v@{L)9CcKzV2AAjr9zj^L=*WWPlGgr0V{glDY%`f?F;kx7I zt;Cqm%zy6*7_;?FGp4?6^(EU+Iq?fCr+t3@tcU+|>9h}RzijQq=f8LQ=kH(r?3P>K zSa{tZhhK;>H*dVb&n*nG~3&%65gcg~!(e$m9jr$4sn zzKOGLYrpcp7oXES@kNF2-FN>};pfGVPX02+EW3QhFQ*>yjcc}_xcI3j-1O+Ip67q% z=Zl^_^Jx=zyx_!3K5^HtTmEo$;i$8VKf;(pi^J0~=Gkq>HQxKXyN6Cc>CPXWbVzab zouB#YTfgwC`zB31`L!LNTKvUnN6hFiJbC^@r((?Rvkv|S#%$g`{bl)M+djD9_~)KF z^G|2Zyydc!d%tvX^2dp-drrRMHD{f2#4lf1*!_ine*|M%A86c!F_)*)Uwi1oUoUMw z{6iZU z$D2QX&G8TXcJ|LtoB5XS-F4HiKKR9+iS75F`r!Oyk3S;+i^7V3zwJo)+0lLIdoX7E z$nq2HHur~fPkZi7-Jjca+3>jo2fbn93)jru z@%lIQ9NBSSI?!_Lu~YLOX}FerW9*LZlzp!snf>luX;`bTVe$U(&zh_nZJ$qmLo+Ey5J-_qm?G4Y)J$3z>_x9&=FW%I7USaDi z51O+&f8G2~PVYWr<{OXv;R_DBdGpj~{`#;-p7x`qM=pQk4U{etMh)ev;|fdpLG7g_uXGmtD6IVqJ5$me9H^*8RF6wk6hmV_p8| zdCxg-Z)bYVWUb%+eVSeK^ql8=p7VUqdCqyy`@S>pbhy>qVpwW7K=rsCT?$bBt2I`g z9WfkGBggsJ0BYi`-j>5srvYl_?PyK2`3o{1K0mTB>|&$-dv0y(SFgJ)>qOAmA5Xj= zch_>d>|peQS);FW*_}#mc;|gv>9E)8`MMH1&GSB1gD(5l)fJ!cz;?{;dUIiGeXNOQ zSk1@L#oKbT{7d$o((!xc`Oysr9Vy{>bHc;8(&Zbqu3NlGb=#Z^ZQBES^C?Y<7JfAX z>o<7$Q~wfWhPbw^7PW5gG#}a1<&8#K#@^l0EZRf1p>O3wLnFJF?YWI>ys4Bjv#8!8 z)UM%@r6p4XAIbMj80lg00y6IJATPOPm20+T*4w4HhEDw9YwnlvrKY(zI%rXBsnxp6 zB^R4l_VfPX{XISZgtA>n%mXb;EVTV~p`Ek*mk@`wj)6&~kF`?jo@;&DyVVY@kn+}s zyLGAbh%puaRJrsnQ+toqGPn3O+O6E!eb!5l1r1cynC83es^+=lDcRL4+@d1tH*K0M zP)*79dwxdKbW&uW?!#x!33P+N>h0*Lx4d4?cI^?n!RHS6zijL?c7B$!RVkYWHui1Q z$;Ehqu{edp|C2>BTOCl+_Ms9^UbBR-0cd z(_s-L-h#*0gnj<87Q%zhAJsMCu*vnE+JPQ}rZui{OgAQ^ z(I{Q}>)~l(rw$){(!yfqP36x6G-;|$N7YxJmU&iF<90E+3uj-!MX7H!{%X~R^NQ$v zgLHw56Mqwct&Fb&%-htIG za<^Yw2XFc^52M_{z4<1iSTn99LT{YkljCrLw^go0TmNdaC{AhE;6mQnGH*ZGQ!LG-}<{KfYG8 zFkK&Asg&jw^kZf`aSQ(O{h!KHJvCEC4>_dn{iDO7N1A%wT_cKER)`(0pFXKqOv9v` zx=K%Vo*Sm^Dx-)Va@w9dA-6sscJPR*W?F!6J55-f#vA%|;m*!bkAB$vHwF=Z|t#|n$rANb=CdhH;%g; zbbi&W^fQm%>zb~c@7g15?`z%7ZOw0OUmA4z{P_+UN0nYLHI2JYt*=fT;PAjolQOy1 zSFe^;Sld%Sc*N8V4W~Qmwp!_Gj2}>SVUAUTOu^O6vG$wrM_pA?W&dh}G@Ca}**@z# z&L>D6r9N0sRZr`;xt*q%w|$M%0adP?7zZIvDOcvO<-&Eg55Km%vOqIvboS&+zjjkv zueKQyv8|k1)~H#StIKbyA~&yQd(3Uwv`HIoVVIkp?x)4g%eh2$tnBLd?a%(oflj+?_2f$7VVrj&*JLNvA*V0yQXU63E%xIHP=?wcKZG$ zck_ulq1?}BR2$~{U2CD)on)(Zn)cVFLw$ipg;N8MTe>!|y>s8rx608`@m#5*yL&5F z`q+%D+i|1%*QS2DBM!Z8^t;fzt;^#2&DZ>Kc7EN8FLc8~1BZIt$#QLU&B@+Rx#5-O z&m|5?>c6g(FFVHix@%weW#x2RQY0;uwSe7~|;dDb)+IBy;D9!Q{Gj?pAcPTvWJ%l*m;kc8QUJsINhv+Er= z+4c40En7qC*8V}cv5#il-iIsIk5bEzA8PM)>ycG=Gp8;G^ri1T>>HffSXX0c=*tJ$ z+Yk74+9BO(z z{keJdWwGzHJICnko`rn9Yu@C*?GaJSJ1Xl9(u{L@;H~~Twfx`#_O3IA-Fi{hsY?~T zdg%DR!KDLre)ggL%Z%EX`tH|pQ#w}2R35z&Sv|q+#)+t{%^q_nmzG|6a`_=u-K~x{ z>Z;HC9&Om`6}Q&Xy4?Lv>r^LHzUR|4jnguknDyc8T-CR~{z0QU^wh7hucq^qzDo8Q+gj8~_gjjCks;<)$OmThVe z#j2K_J$Dw@2K(shyN5got+QhL&2oQ_U9UXdK(prU*9X-evm7UvvF~0nxpdK1PP!cZ zR|(ad1mAMgjZ6*Q{AfnTfuix3G8Sn-BvO#F?p>BP*U)VZlc_XgYN^AQ{*ZRQUU;>kY(CtLbw+x~gY?q#jp z18N^WR4K8Fa%+aou;NSitDgt8QWkYt{HoL95$inWmuwmH>cwJhzgoJ4ej&Op&&oOv zviGi6N10hyJwA2Oc=51gbMJ2v#`nAMr1tY2;` zefUZ}Y+U7;uAEzw^RCAxg;mno zkABPDYi4cnu2Gh%goEFi%bKF{=gk_qam51E?+*4asyh0}ujq44{LjZ1z6hFLJZ2As zIB4g_ILo^&Z0q~k-MPPS#jh7fjqZL@*}tRBn%}2%RwvK$y=CnnU%Pei+FCBU+tCA^ zH#7;D?4&E>8MHppW8#Zt7Jqhopj3Hi#@{+1ew$@in(c9nn?lmZ|q|T)Thc z<$ZL$S2jucA?(TPd!ufoP2|R;Stsby2B=2O4M^^!nKrm-t!pc|uP3U%ing1nI?$`m zvc??c)YX-3 z<}P+=?^s(=zQ4zBy`o=z=YB5u>3UtmouL)qF1XRxCZSd4VCC>NnyWpU3{y|YcItSh z%$sRGCpVw2RJWUco6opfjr}upx63xER;%_`-q&}1eXh)pE0k#y+RB`(7W>(1S#f7> z{^inUQ&uiiX{?=#)wZ5xKVd%l-H)P8tSf9DR7_Q&r0=XhH0D-&O0M+a$_!GUt~k<0 zHR(4$^9)U$Db<$VE4lB%fOSB_d(yh$mK&^XfAh9`oHRY~=#hZ1E|--R%iHMgEcQ?@ z85sN*=MpnNwfi5fJ=WZ7*R}WJ^^InG=|*t+P2YFEYqO-niWbF{W$S5fpGmV($Denu z(#fvp$ca17MO8Gvpbv-`R#F?(U-wmZ$ampwZ{P1bCO2P}X+k20Is@tMyW? zYL?AOR;Jq8)EUuixB3?gzlrA^n*LP7cFY);Yh&B(e0%CrojaMj$W{$%W&d@um)UW@ zBEyuuvNR_qcj%$M^VYfTQM;|#zoxJFx}w{7{c5L-%G!XJx|ES2$9-IDC7)mBHT@2E zXSsC;%jk!yJxv3CIjqUrA#dLJ2p4@)efP-CR;tS{>SP6L5-yz{mb7Jl$qVqzR9Rd~ z!yA^R!))tbvD;c^ZBn<%U3+{pTDdIHW|i{tEcKRo?OQZ*sk5)c!Pdn*8mihkp5Gka z$ak>Lxtcz>?#;cA2Xv?~{E_m&Sk1oIHMXdaf8%_3yPeCCADR!|FnTdDR9{t9MMg)>AqCZinvz-Oh}gW_I!|_gGuHN3#d-R8xmmJ~KdVkr3Rh zWks&rbn8|Z|Lm(e+Su13UUTH<>vN7~agjFa7Qrh!sLC|-J5pQIzI3y;KlG|Nb6p0| z*i^Rv3d>V7Z1+C5+kME&xx%#NXV-E{-FTZyF6Z=WMd>IHy-QAs0sCg2@Hphyv6@BE zU$pw+x_e7QVs(nI26Sk3>~ufnp?J-hre>$q*80kg7TJ50vpZY7oYOMB{`HhnbAnyg z={&y)mAOsW;PrL$pZ%+H`%08b*&DRgqSC&G+|`oms$0Gfto+-+(2@|%w|6%*v>dRtl@g(IxA*?|iadiSElE!pD1Mzju0d*YbyBwsX7M zHC-N6a?*YC{oyg(uh*@$r%qf~jgRsRiO|fQe(d6~-CR&f_0Z?{nyEVV@S8hYqlj8$q}nmbH@2Z7YqfYuQ^jVOL;nuAIY97TW6%ep4p&(3I^JIaOV(dgZ6-_5q53&S52; zUdQP-oG$({cy5l)X?Cddk|Vq2D=%w1sJMqst#{shd`IQGHo&ourbylMKk8k%tq$s@ zCnEN#{5sSrazYbT`=`a>UFvh~_$M%rm;VrHd1R$+O<%j{<0aQW>^tq3p3jucHrZ^v z>m8`JU()INI+yb{o5Lsn?h%&J@wnVBP`hW5?)Zd|7t!--Z*V*t*R`Ay>w^ChgL`$7}{`n#ZxwZ+^AQjk`|mEWN~PF z!}3ujZ>4|t^|y`D3 zHa6q_?5dvQvGtb9YC`?4RW)uEoZqS|WMuAc4j8tyzP`W3vWW86 zbWQxU)i=~J4P9O9+ke~V%APVSoaRl@cUaVOQE<4WZrYpBpMvJtPD(8K)H{nSTh{vO z7Pr!>#m57VY|%u{+uQcQ81A>h>IL=QomHKiTqm--rtbAxCo5TI{`CSreJ<;H^VM6+ z^*YVX}@yBjdiVNJGGjwkGn9mZE%MMx_u8r zkF@x$%A`#TMjUwFS*P@V6x03hYONc*d!0Rk``fSdjs8(DRD(~swLWb#W>oj|j*;Bu zjn;qMu)U}XdgVK2n&xi!rCX!Zx$Fw+_3vD6t77-~-94ab-ShbftFBjkJvsr6>vx(} zwmkaAR(9S_@pIO)g+9Mlojgey_Sj}&k+??ccblTt{pIq_vxvG0yFI>=b)Q@G{gB|( z$8>XJLiGn#B~Fa~<7BN1%HR_kiycm(YWq5FIlIfu)@1aazOvH8&HAgBWo|Y8eu{49 z*G+O}%WYaUnsGApEVp!;_1d3bf2&%wGvG}ZP1^j!-D>~Att_F|?RlG`y5G8fS`SU5 zyPMl}9O^sZCI8^Bj+Xu2S^hf4R{O$Ef1}8?z|j$DYdR{U2iaVAc51Cw-|sPSw~Mm; zz&o?*dep1f{i@p9E7*35PBSI6m!18TneEqJzB*g^-9*idX!CE?s$FjT7MA(VOy$us zyHfuS`oAw)c58e!S@+YtCZ#W2Z#$~Sx})g<-2N!*ZA}hrQ(amWP|8K)a5-p(ofnrn zMQy%h+b&g6bsYy+%}>hN6GER4Xuhim^y0SA)XkO=b8Nd;w`<=i=(T09{o}@1DX%WG zdHcrig1YsIo((p-+-1 zcdLJ-cl#A;uExsJ-#TrosJbxTy?#xr`&V68d~=LjkYTMZwq=pZ)!g^KnYYQc^_MEFdbYRb{rO`@>Q(~{J$jt5rFX1tvn6(K>V=3=GB z#n@a5oxf8ZeXI9Dl}q`S6S)*0)5#8n6kYdfS%SCPfA(UfyO(C6 zx^E@*!%glxUuyc?ZtRj7UEwQ@eqPTFLWns*MdX$}F58y) z-fmy7(7Ai2yqtO}Ntu1#W=^w(^N}s*yRVi3O`%xXSwe=(s15kUCoNRwu>uo zYSH4{(td-vi<_*AK74XnRms-BV{gqp_uqdSe1bEpp$>bjvrvV$Z5(V$295Z@FD*IHh@ZfkkLF6)Zr?AJG*o}QGcY=6dPzI=S7+HQHj6ZS6aOE+&bcag_1 zuFnWt`;pp>Rdw6PhlH#wSMA8CqSwz}Q&w@&xJOoURTnGc{&JUQtU?j#oX$J2jVvFIu2pdCQ}jndaff>Ng^8R`46G z?{aQMorYDtbW8Gp+}8R|aH!PRO?j)nrqQ3*AE{ps z@hGa(#Jt)wuWIWGEAQ)_*T2}*u*)#rh<>`2{g&VAJUhN_k*Qo)S8M&GB5|s?Xx}y8 zYdXXI|1781^*SfzlzWIIiiv;0=i&Jecf$2{{`Qv4+yeaxpVM%YLFndiXB4b%{JR`( zJHzcGcmCa-DkGQ-+A1rU3?i%`n1G#_mpPcA2b5*eWj>i4x+(vr&A|jni?YQ4 zR7n~MCX6f&AQu72#%vBIj4T15%F;+MVI)6;NC7~#CD50m@pFZK<*}u z1QSNWhppt*1teq)e16&t#4lrRPKY`(M;u1(OVWcB~8b~9-gpm~i6ex`Z6Gl1#C`cL!CXD14g@)2dFkz%K zfOO~$mN=OOECf^o0B?ddL1qCnA55SLCYXjYiz?_1OrQxSm?JU^SM&xZ&;%2trOX1R zJ(xffOppmO3z+9%0!=VM=gTarp*Jvr1}1Y*U^%r{yQ6n5l&A{$xjLB~KC%FZ7?~1Q zODFV?_lKl8n4qGo5`#R@-|}$uCV>YN#$yn_a73U&R3nnu6zH1<$P0WF7JDg<{({jE zS_KpKfa-Cg9+m?2o@*#NC9u)A50jJL9j&0$Ducgd;k;1V^9O6%fCi%7;oSoOc;+rfqfJ@CNaK3F9%S5#VPDcmw}n!gvhQ0)DoDH}DT8 zjK`p0z|R-(2L8c>@fg$?@CyXIfqyVzJO;t?CSNAt4f+#I7>_}Ez%Li@2JwIi<1r`% z>GBomjYI!rN`rX7gz*>@igfuJ^oH>U{=tOt7}NytYX!W4e=uP@2897WMZg>Q2NT9) zP*cEf6z~@4ugd73u-<|R<1r{4>GB=u4aaZLpJ2jx3~C1WQ~_@g5123>gCYRGQ@|U< z115~epyq(zC*TeIg9+m?s0HBn3wQ(nV8VC|iUj;20dL?ROc;+rQGh=z;0^qP3F9%S zCE!m8c!T}~6UJjuE5M%=@CNaK3F9%SHQ-MPc!PMrgz*>zM@jjw0^Yzsm@poL+5rBX zfH&|DCXC0Rwt&AZ;0^qP3F9%S9pJABcmw}n!gvg75BS>x-k?9hgz*^E0q}POyg@u* z!gvgVqr5y*z#GH^CXC0RPJsVIz!yh_}{0k0JB2L8c>@fg$x@WlkYfqyVzJO;%9-crCD_y-flV^Ckf!<|6%e}2a=Fkw6f z^#i=EfVV_yRrF8DXE0$r2K5KLoq#up2TT}`K?4A9FW?RMf(hd>XdvLr3wQ(nV8VC| z8U*+X0^Yzsm@poL1_Rz%z#I4n6UJjuJm6gfyn%l(VLS#60X%#)8U2s?-=IIigz*?O z6!7q<5R5m72TT}`LBjxFL%_}TfbSvT4g7-%<1uIo;Cl*q1OH&ccntam@Nm-?{h!}>feGU=Xe!|Q z3U~wmV8VC|ng;kG0^T71!G!S`G#&8pEL-${e(`__<1uIk;D-r#gLuG%@fb7{@bC#E z^nZT-!G!S`1ZR&nvyI8&$1Y z?2jwFo5cTmIP&nOi*BcvT68Q@H!PBi3a%4#HYeNn%JK#WCU09eD72kZxj&ATD}8jz zU(?!6*8ea+xzyvnZax;zzk2VzbK$)OcVl`VKeoGg_)Lpd?K6gQXJ)_MIr;wL8TSum zl?fX7XlUDQt;>51zOnVfiAnJdzI~|g+)ur##9sUNUNe-p&ra;oqw>gOmGBNVhIgbKt=1EqC2-oqcP`^qo77HMgOyz|r=4l8ej>^Z8Ad>eSD<%$`<90(d! zJiyuM{PkP#9@bhe3ti^#6SEH`YEwkNu;?DzzkF2`;^iN}|+?&ucrTy(* ze_t=|^|EBi9)ZEtcSn4Ca--8`r_#?NpZ-`TeQdw<)ZgYUxSi&7qoL)^nM+0oW@YZO zmU&mZwAW?V{T8WB-?gl@aVsARoN>hTVHxGHzFjbX=u0~)NXW@wWU zdev=h%Z~Stu6d{0S3f@Lf=`P(t8Q%Hw(;QX{@*_EkUPG7!p(cXWNe@4jQ3rXvb~pn z?3(^Z9~bS3-G8ONoVI#Hm6*fst6uxfn7DGQ=d?d(Uu{tK?4yCI6*kT@24BAO(>J@G zxA|*d`%10m9qWClTl-?leiPTc{b{HlceQSmhdO!bO0y;dXK;fLF1@HswRG=LvvwcX zS)JOi@A2~MQ8f?wmV5twrQ|-B$99pmSspX_c6xT5`hUNAzhK+i1)61DlqdII4lzr= zyTK(epj+!be$&>sdVBhpR^~5GM7gX^Uos=9+6ezH1Mk<_Y8mp*W7wQFF_(I_E9#(l zHD;RUqKU)D2c6sK+0V}5a>}I&UK4ltoC=w!KYlzeBBQT+RD@0WnciF8?ix6*bjX{P zzh_r{Tcd03W!qY<+4jWVbxl}i(t#hk4(^?`d-w51Kc8C_xA17k(>uStSaJH)Kljc&*La#&tH%xB z-AGc&Y7DKqa7UF|L%yrNQ+^KXlq9Y0*;yP~yf zFI(l6^+)kH-#*&4KcUpS>XohL+q$Mizp3nL-sg3zur_}TznbK0752QsjfsOtG*Er> zW`|8p-{yx}9^bBR;kWzlgq~jUNSk^$Aol9L=$l79Yrx5PhV`4hvA=5HPr6-X_0XN) z+#X#*e{;|5=i680R90_k{-BHV&{991oL#cb$mV?R)q@GL$f9gX09A)K^v@kV53KP1KmD|exi9Jr=p|)YTl6lk z32*3MKK%(MjI;xgpEMFo7-lb8v4WVb4KQ1!bnFzHh?$uFCQeB zFtQ?m0^tq)%LfT2jC2A}5WJy(`5?iBk(B_{P#Os)jC2N&4!spc{|fK_7vBGe#Y5f+ zz47OAhW7)(gwOvlh+kb|1iZodE0{1IgZMQD-`YnOL;qmHcnsoKn%>g>!G!S`#IHAf z1iZodF_h+lz*2zY~dz=ZJ_6oB-? z`~UpvB!{l~M(7{-i<9xI+Eo5e^k$epV8Z>0L5+}Jc>h1QKbH&r1AlR{5cDoz!T*Wg z4EqyIxIZx{6!2>Vyg~kh3F9%S3EYv;EpXkl-ej1o?zF|-Yz~2$@2KfmljK`pkfX@{02J;0> z7>_}4lrOyhpF3VJg#LlQI2r6x3h)2t_D|vcf0PgS);`J%!{aHK@chD{!u$VuKaX5^ z{~zTKzO@heW3WE~6V4wD8V&1D;r)MMzgKwwALT>g{eK}J3h)1;d?>vCUwHq&@cw_{ z{r|%I|AqJe|JD2d?DPNYym@~6Tz|G)70|Ao*0FMR%g;q(9D(_4kl|1W(0f8q20 z3!ndA`27FE=l>Tz|G)70|Ao*0FMR%g;q(6spZ{O@{Qv*S^Z$M2bpz4&NcX{AP7cYF z=p4lv;Zw3UM3zPpsWJ_cs99M#B;l(P<&j*5zA{(} z$(5Fg_O?hKZro&t9g-6|^qXssWRaAc$}&iLESdIQStQG#uMAov=?o5KW`~FMAJj)K zQ>4j|4Bj@m*z|%%L2;puAt>ZoQzqbAVrCkPQNQjsU6c6{-hWr(VaVAlrJ$ znnJx`s3f@$OYSeCKO_1(EO!&! z?iWOVP9(waeoFKwL_Q|+Pa+=?`H;wLA|DWWpU6Lm%p&r4BJUA-m&i;a?+|&L$Xi5a z5cwOCH;KGKvGM&g{L>?vb2$5+-9wzb-kv|dnmdF%unalyLGcewASrPbpAur(@&S(Wqg=b#m zSFR-X|Ei?%|68(KTp!dg3ZcKyqJ)k^MN+AR;{)^`yuW#`PIW>O`tcx|h_Bx(Q}Mhn zA}I%T9}MeLYJ8`7z8&h9O4dKDqu@WoME|||4H&}v_v!@eZK@1OwC?fo78UW3YR`qV zhZ;4BkIh}2E=$y-cmt~|Ltp0Wt$N$qSsstp>DG=3IO+B=%n-bP(FR2Y!SS(E>kv4vN{!OXwCH>zwOK?X zI4?}qq94Kpo#H%YQ9))R-HYgr!kRS#d=pu-MqqCd92v~}lSKxL@tvBrjDm6*l5kv% zj0)oQmXWe3Xb08<*sncB#KaOE4$v!i-=;$vzwaP?$azz$mmh!Lol4HjQ^|RGDml+g zCFjq4JLbsW1X4edNVI>1^Ul-(gZg&^*?sU})Q%!`aD1=6AUh!g$Zp60Qide(kg`|m z)t9f=J*E@yw{|TY-xksy%Zut=2tTT)6Flrsfj2E@CA+_Jtmo(iaeATGhoqCt%L~TI zWFG#Q2MPy$Be_Vbby1vGG#)62{WaCQB3&G}JHZ>{!|taLA3k5TT3Ha}i>dK?dHV3< z2ywywrl*m3douBkGcjJN{`d6qYk%hOlj1M}p#5k9dD zpG*_^e7gRxEyN4`UqSideCf-?>re2;@!cDE|xA|6ZN~AL#$_ zM)<(>OmY4%HIdIJ>wmAj{eQ_wyu+AyADbAj@&2ddAV_{*6m}|4bwC@=ta^IJ$l&n;5Tj|KoKAr9WPO$UH#e zBm3V^;KLuMjqn-6^uI-MJYJuz|9!lKc%lDwq>Ceveii3bC|eKni%&7`kh~2KEB`mh4`W0Rp^;zkOxxzK9`B#mEb>Oo%v_| zUNd*U3G=guk+>HzagQ@G?tJ>4-|y3P-4neaZ-o6nS@+R?vq1ZOubRw$(+Ho%44+IB z`F!&H_scsDPO1;*&nhPF0D?D`KhonskGuKH0`L3L4PJizLUBS~O)|nKiQ%){L_Yb9 z1ApEXuKmb$$S-&Q331;v68AbL?i>^2&SxAx&H7&}cfSeiP;K;Vt`Dt4>zVkY2;Nv8 zee!;1xK6@BGCr#v&&p7bMnc$7h<9x<}u0#K9KU6#KKD4`$_zyDik25j; zPv7sk`%pOl`q4<-N0_)Tn;3UK{r=(WpC7v)s-3$pg>}^leZc5Ld32QF6F~6B^5|2K zgSThiaTsYN?$b=%b4`r9z~eyHrGlk&FF z3opp|q_B=3 z@Auq&D8&7Tk+`oham&@F?H}^#cd`yK=VQ$AxWM_(Tn7y&@k#9~t}}dMP2}^*$H6D> zetNZ$xbHD>A2cy;sd1p^#Zt1M=Vjia>x*zaD2_f5`k~{&?+hPjf;Tpw^BD(xodPXH zA9H=kTXbCx;_hlB?k7y#qfCrDpK+k;&_CJ_c{A7L_8W=+DHH!?6XXB%{hoUqhJM$S z{&2qh#l-DN@W%2bpMKBx`Vc!03Y`DGd5^;*jPS`}_{=qt&nF)TzufZy7>8et#9ah+ zxcK^Wwux~|jl-utFZ1@xJwE_Gewq*Gm4e|DLGZ@%DxYzH&;6%1``C5JFYkPqVI=Mn zOx(#P#w|GxIS%LsJ>(JXe?flad75{v+A(?JK1FpL~9fevXmsn~=&Mw+r0=UU~Pctw?H8y;;qNTn`UCXlKoHm*woXO{ol<?$KUxGK5FCXZC{P{(?FCuwQ&)W)m{nW=N@4j@55kBZ5e;z&y zP2}_G`akb}^qi4+Bbj)!O^jEn|3&K#zc2mA`R|)|KkA1b1o5Hkrszu}dHO$s;EmrW%nzSMr97ZY!L6XTWc|4+RCVXoJ$F~X-e!{?xhd_GN#2@bM&gRGjCyK*x_t$^LIq!1YITT@Kct zK1Sjl%fy>tV!YD*PrkoF&%bi_LHzN!!23_;y4){D_>5!tWSPk4)AfJe{ZA#Q59iB7 zCf)#oN5vn^7wP`byWY_GfaJ&ZKXW~9k`X?W7(UBQcqt#+4#lBr z=(%J?QXNtM!v6Cb=~r{&?|&tuNj%C*I4-GvpuCLnbR>Lee^Gz5ejKsW@uB^UiuwGQ zMdEQJ@zC+4?NWbKr{h6!j>He`e`=@r0mROh(|R;cI&R$88Xq&z5&wu7qie9{RY zf5OL%l$#T2OlNV%@KSbKPRBc%#6$a&jsumnA1EKH(|)D><4Ab?)A1fa;-TY6+okbQ zosJvD(e`Ov)K2mLtMN`GeAw|brqgkvI4aq4Q*}Dt$(8Y19ap9)X?(EN9F z#`#b4jq;=8MS0P6o#tUTQ+YG}N9)sm`p|Jtf%XOH%U1lpz@Lee#zp;6oyJf3IFk1N zYwKV%;X}uR=7BM3Dqadl%c-P!Fr4XM+K>OWJebAANyq*FYaVPSeCW8-aWPe=I4Vu8 z&*EqvoMHO+znTYGOq~DU^FZl>*SW4_z51^nC&Nj6&4`R5(viqdb)0l1{b6c*bpD%q zT=7Q}2F^DlNIUd+@&7%KVn0*AC4OQ3ww&;x>nN3UourbMOG%0|CfWKFPuJmtpJ^N~ ze_`YJnDFUJ)}!u3{@2bof=FC+ebW-%k;qTAj#^d5>l~YJpIfJS?N8z{mH$Vt>!h78 zW?lbG{g(KJ_1kj7=X2#dUB{`U?b7@|_?gD>@)tIaj|rcyWPSXvuIqF?H+6lZ=U4p* zuWm%reJI_}((4x#hhXUUTZ*I(KnVu>SgR^n(!Bd$Nt)+@B%XryM?uzmxNnq9_(zlW zzLfQTz-R8iSzlQHB@;fMYaY;bo=Vy-oe$}sc|0?|u<^_xe7chL@xQwM)9WHFNSuyD z_8{_~toQIafefW9!(*uH*D`PIguCx<>Q%e9PZ=N>+R8`oiAn`|4jWh>kI3*WWtBddv@Js?Vnnw`Jeuo#xdgy8^;{Nrz_dt z{#W%ux zI38rik?m);zp4FWCG|%o^+U%o<1>w8&KEY0R@Lyj+V%g*amLhr^v9k@pl-->{oj-H z56!#(m85yznZ!enJErzWL5@G->;J<^dtb`&XBIP_bR97!3p(!9AFapgbUZhI=J8Db z!p1X$8Beyq*?y1y)QwpXGM_ypn3MWB+YLs^@tbTFBneZq2)B5e_N;RP)X<8EE12Y<57^~p!oV) zGHK^anP&(8M|nlZkLC-_s|<;}V)3*dD=E&HG**x1RnBM1E34{wUVSNfywV!SzFuHe10RoQUVbh~^PfsO&-_U|w4BECZ|k%jD(O6nAn}+w z9v{0eh$ZcODd%*9!)a{`j}@Rmv}& zM^w^zw$Q|QXg%uxQ|ok|Z6@)s^Gs?yK6YP_PTKiW=2?b`<4DKvQ%jl;G_Pb{`R{)z zj%}ZoQ)$djaa2m-Xe9Y@+9qiDS4%r7)Q3h|EW&LQA*Ohiv3LSNb#ZZ(YzY> zneuAk7nWC>2_O1=rC{c~oR)`>@^B(`L^=}Lh{*m#_9b!vk#R)Q`xNwh=TwhC0}A)k z&yaZNafXeD>NGA#;`g7nkKCX~02WD2BynVsIO4kxj)&hd=-NF7Jx^MZ+AXdR|2uO7 zx)16FdjA+%FZg|fAgz|SN49Lp%kXAVd47*BC|sLI4@U)1AN{O2k7s{Zll?urXy*6r zq7gt`KM4KM0_Z`JEqED5^f01F1xNC~$LrN8yd~h8%EDWkAxX=I%#1k7M8w&mS`u+R&GMV{~Mcz0c zs-t`qaC|jUJ>p+c1l8hXYDF<5-@aEX=9I+s$vP?2N5$xs+pe+tV5!MJfuZG&EanSl8&GU($JO^5+Pw{MhsrJcpmksKppW8$)Gx%9rUP{#` z&*L6pc$&~iV^DYePS2VFcd0vD;{SqeDC*Qv|sE>Y*1HFv*ANfAB zL4C+mQ|goT*r2|ZiS@}kYEa+O#QJ0%P*|c30L(|e8shDYCLHK#sNmgur4K3RlCTax zM~Gf%eL#PR?U{^S%h;W@kczjj<;&b~%bWPy38r%h;W`O@GInRI=eEz-V;FlHV^3o2u#V@kczjj<;&b~(zE zJnb`fEn|ngLipVF8G8(4Ph;#!j9rd0KTrFNUCY>=v7XyLV~=6%X^cIIvCE4x?K5^Q zV|T`SZu^WqhOwtH_9VtGM+ekA{29BJu{&cuw|&MQ!`RapdlF-pqlGR{`;1-7*qyPS z+dgBDVeDy)J&Ccy{v=QPj9tsvow1(VK4Xtz>}iZWiLuMkfhJG;j9tsvow1(VK4Xtz z>}iZWiLuKqnD!aFma#iyJ-2dtv7<&?9m#die8M~ITJ7Yb!ea0Tc*wYw$5@VO6 z16`i}XY5+W?u_-^_8EH&V^3r3NsL{NHfnj=XY5+W?u_-^_8EH&V^3r3NsL{NHVS## zXY5+W?u_-^_8EH&V^3r3NsL`yifNy*YZ<#U)^pou>@kczjj<;&b~)Op=HbuSwT#^v z>$&YS_87*V#@Le>yIjq*&)Bt$-5Kk-?KAcm#-7I5lNh@kop(CDRO;&iBaYSNAXup___8o8@hYtVz zc@8uH=R2SyJUS}omBgQ-C|WNeKERKcaU8?}e0W{Xag}gAgLvR{GrxGy;Sasc6j=p~ zhxilX0e%n<`dL^$9$rWBxPW_^nL#{`s1(Jc&?5=`upJQqUbwuJg7lX{Ny=Rbp4!hM z9q_bYQTXU(rm#XC2>z&F=ubEgmg-kWWQRCtzk(m&;fu?CcpdSmjP(3?_+y;V9_4|{ z4S56-JhihtXq^59N4xJIeznu$iKB z0eR3kC=awf<$1*5_I!99@u-UQ{CLD-oI;5tWf1IhewiKrBCXZZL^ah?*mSp=6sa9$F)g#`DQ;JhVp%LxwsNE&Lt6z3y> zOC~rgf`j>+ACJuh=SXlhC2+e4&XeH$Bya}_E|B1AN#N26E`s3vC2(g5t~0^amcU&m zxB&!LM*^2YaN`KBt^_WN;ARnAJqg@nf=ed2`VzPtf;&iX4J2@A((!q9ncxB?aBv)e z{CiArK@vDCf`cohkS`4-aCQXeNO1Hx;>znv1@s538%iW$J`oAi9lgvrv~5S%v&}h* z{|fD6Me9rnzypr2C+95CHe2Q(GoKFi(2;(cj+bT&d4X^s-@I3IS;UVOu_LK3)50Ta>4LEz>@4|3Lep1do;@VIKzjF&G$- zY`hT##MAtuehS*Z=rlDCzg7%C-u?l8od}-h0hPw`fyAwdCG|%!lJcQ)0+;{)_l= zBz7vPAGj_BdC8kaery;&(651J=F@RK^J#M4zs*p7!lHQzd96h203Bbt9%P|%m>0kc z_!23sAEi(^tRJMFuwL*uv|b=H{{q~H)(fe1g0F_&Fdr*{C)|*MxPVsx;sx=8f69x* z!@+!UH#6rdA_;gWfaSA)puC}fU>^;;D1JP6-AWOIB-BUC8s85<4E80wj>f$b(m&)E z4M!fo`1~T*FU%w8UptKR;dSKK1?eC1>xirnFGu3_<@H>@(68Xv6XW>vz46FzWu$+| zuRq2Me#3Y@*Ds7G_|;>a53eJ?Qu}h+zY&aI=+}4ebN!;zXx?vUjPv1j9`k+&V4M%HBfoA)|B&C|7%%uu;Pu>iVO*gf#$lWfuOq)*kPd!v z!sZ_Lk}%E-uM=k6Nd!Ri7jFmAJUk=P;W&C;q$7V^HqpuX2qYg&kJB*Dhv2ysq9cJ`W?V9Qhy3PMq<7{2FyxboaRMJtv{4uH@fGR7$6usF zI}t=D=L-TKEiPB2WB&pV%8TVAM>Qc{g6FbvJt6)qM(>Gq)V{&^IAcEop9Ch}M3D}B zripam1J~(+KRZ4PaXEh-z?AXP5*?Do=aikPiFLf5_~c-PT;egi8o241D|A(4tx$0ogJU;xLlEr{Tt;Y zM-z*mKN!ztGx29J`Y;JT&e)H@=Q$H^jz|YSupWum3mbGG&u{)Hak)Z?{Tt<@C42~; zb0<2@6IVuubsqJn!FmvbaRQ%c5+C)`UZew`&LSPgXE@Q>@rlFb3O)93lurVQm*BZL zCjMAPA1%Qr3F8DlDNMYZMLO`=F4BR|Nusm!=O8XuBx3(Y`J|C}37$(~;!kGuF%o<- zF;3uPg*JS7@=2rvA3Ko_d_0NHj*l}gSEOVAM)}Cm0g0bK7|&%h@n*Fka!86i(}%CW%TJ1e3CFu;NyT!K*agxDAIus-1imR z1BlL!k0&lyBx3(Y`J|C}37$(~;!kGu84`RlF;3t!oQZdoNC!USL^_PmLZY+dGYyyX z_lHc`@5tq3{@{4HY$pCJMxP_W#~J$(_?%(lJulLM&t;Jgd>#{>9iL2Gu25qCM#o1> z_z*njPINwO)Q&5o&z0a4gK+{McXZ+@&L>Zi4t#t?I`9c2Iy*jrxLl#f{*CfUAn_7B z7stdO%jkA>f)NC!SjbRr0R*ztKo_@rb1M#o2vE}-(qAB^X+nfS99eU$_s zXY5Dd6G-CY;V53MNC!T8kq&%16P+EOC|s^kV*f_@XbB&J=iG@-<8Wp4Bndt-7$@+7 z&yk4dPqIh{J}Dv{_`v5-@*AIBxLl#f{*CfUAn_7B7stdO%joMQ_#|PRz~>DUuMAy~ zqWpl5T%-dZ2com%V};8ViP*nUK4~Ogg6C40_>&oZy#$|3j1%}oGx4?;>A(r&W;a! z9tn-B0mO9NcdKoevwe1J`%O`eq3}F&HQCu|XFmX?{Vxb|M}4IEZwx z`x2cUA6Hzi&}08b$0vcrOYmGA6MrnD@0Q?`gmD6&I40f!A|3d|i*(>Kjp*$7jKk%M zMC{)vpEMFL!E-50{K<^IM}ki##tD4RF!7!j>A>f*NC!TTiO!BsCN5W`WB*3^$kFv6 z$R~p5uTzTiD~sXtqXZvk>__0^YLz$N+(kO@@f7L6M^AKid;)N}LW%tw<)bBh2%d8% zIv+M_$Cc3!O7MxnIDttOu+!FUd?%jTIsjDA{zk2Cfo@L9;j zyHunDpXDMQ`0OG&J3cA6TmjdEQCvp(z;#!MpWr!nqVr*+c3c_#j0B$;j1&00Vd9mc z8$@)xfR9|H10M&Xv*Tlh%N2U;-zc915--7XaZLQNjDA6aPZGune4?0mqeVLKX)n@& zPdw4t@rlLdibU++D4#SEFTryuO#I1=eo=x?CdLVTHZ$>V7wN!fmq-UbXNb;@4}AU> zjjJLZ`!~u*j;=rQ^9SR(Y$pCJM!zP(#~J$(_$bx6`33P>h;-m%CDOs}N_2L79B{b; zu49`te+VCf=iG_ThYjs8`gI9DF&HQCiDTj&Aku+PyhsN=(}>QF&p2GJ&}08b$0vcr zOYj_A|Az6Rd}0~>o&=vHj1&04=cMWUhj`OPI`BCu(!ridbas3$<8nnJ_HUF=8i|+S zIk=84=9A3mzf17R#5jSEE4qO!=Ho8Xfsdz12R?eDv*Qzh%N6O^zfnH$xe0#$U_6)2 z#Gl3JPbB!jb#vrL;4_YiH$kKWpG1)ke3lcP9iO?lT%pANjq=eFJ_OIX6P@OpE2BS^ z;1h#!0-x(lycr@L_+*N7;PZy)?D#y!;B?=i)HxyCBY|&;p1C6 zZ@&49bl?*p(t%GD(b@6Q<8no!iG0#XyadmsF!3iddX5C2OpFu8XCV{sQjrdPmWy=Y zvy15L_@v-+MLPCxbbRFK`Y=C#FrLe1;?H9ABItf9YTw{~3EVFb&!5Lkyw62C@W~PB zz{g61$CDi&IWAWyv45j{w1f}AbM8dvhXJ+Y%IN3=GWqg}!8l=jqDXwyPqat}KJ7(1 z@QEinJ3g_vT%pJQjq*t#@e({2$HX7Y=p`ihBw?JuCxwZ3vq%R%+eJF?IZ1SOd=BDr zMI!cZlusIom*BY+CjMkbw~*kIiE#oSEA#*X@$tt-qyry2kq&%3iO!CXGcH%8WB*3^ z$kFv~e*R!Qm(9eV#ptCZ_&8%f0-snW-Z+sCd(Ra)lE6H_As#_z*k? z_ie=MwJW1rOYn)oIDyYeCf+k59r&CV>A)wO=6K|$S z2R>OM9r(!X^FRJPCw$<37mCX$A1&cS@SHo*>2brA(VZmt#9*AjM@!4~lf)lP1!E&vl}+<8ua=EA-gEQ9cPIUV`V~{+>ABVi~=b z1fL{~6Zlw_&6{sFA|3eHiFDxONpyC6oN>7#5&JjFCym5Q@LUQLe=?){OYq6WIDt<* z6Yp@54tz$5bl@|W=m~SPVw}JylZiJ=qywL9kq&(1(zfnGEBwm8&QkeLY89hpZPbS6*e4%&mWBEvYGg^7`>YWA7|`G;FHY6n+iO#E4lZpL@GnVBp4Tq)Ym2+z4ew?k#{eS9M0 z>A0ZkvizP?!Q;?#D$wmEnJap(4d6cXoC+nnZx7EE$U&cnfbY@kc)a2BZ_w>Lh$9FB zKzI&SLoYLqKF@}?qvxEUuQj13H{j>9AUk3MFEhnuBmU9t8{WS!l4Ae#c{RLU^c)p_ z_zU14U9RW-hcW)Oq`!IlKk$z(H}n3{wmeV#^!YWs9r=g2>GNv}(m&|(BJUrahUf85 zpLfIC|ABvWIf?fl!}zDqv*GQc{vr8l^7umBkUt5GfBO6z-j4j!{E_niisTV;!@nTU ztx%q$k0YR0?(59BAYb2QIg5fJhmKbOV|-{wboM4!I``GM*!B>BY)SSO(LEt;3; zwiEO}{~R0`M;a$CS1Q&bJNO4Yj4xbA1D!tah4>ZPYlU%=?Ll7V*B&m3FGEL;_E0;AMzP^dy@K&yeuX38?E07mFL%Q5GT~vBMChGd1+XG9IQikMG(i)0DW?>x|TRQTNnZ@iu&*n9AI5P5;|utz{x zS9vMt9~X!$X52>9AD-y>KS+V+eksst2YQ()qIt(=!gIX>Q7Np`UA^|zLLbr)~~_Thw+4ZiA;U9M12@Has0IYET+D#M13!b`U{!* zWhLsPV)V-GKid9sR4V50BvIc7s^?QbnWK|n4*O#bYOQL={Q$JXuKFqiL_@80wHQ`9DyiexO8sB~yQ-MExL%`s8_x z!umB)qJBe(`s6u{Lj9RceSW!=nVET@@99GR!HE>`<=1VbA4H|FPL@GHScgHH$+>>0 zIL`~7Lj&tR>kq#72L7Hg>v~z@4`hD+V0{OF@D4Bj_<@cm@O(QpI*#B{Gp-5$huH!i zj*bhU!ae}@*HEAA6Bh9FM0T*gMaMZ3uOb!c^mqWartl^+TYx(**DvMwg6CtdXngn8muBYUkVMbfEK2+qh5Z%a&}CcMVdRgOa<1rb zQcl`c6KNfe${|iEeq?`Tz^@spC&lkM!w-(Hz>k+Aez0E_^8?)!evSwQ{CFwiN7_{r zX&r%Zz>n?|K@*MdbUq*GK0{$Xjn6Z*-+<>Ait{82Dk48@p1^(_;wAgL0{3HUzP@yx zJZ1W^BEmyI@>0}~Fz(`h1YO*ZB<`Z)P$}e#9g>hIPDnyO@>0}~q+K5k^pGh5!Kq410qtEfcVj#Q_lp6lo!YK_ZMB zv?$s_7>2#sn|%fXWFSCPly;l>o$ov6yn9~Vs$|R`9L_mab*k?7)px62dS0XfbmW*= zjDK4h5#xKm2lM~*_vf_r)Aw7y-$M%hfII4)5u2-b*!q?5+DY&_N7~~#&<4DKU&IUk z4Z-VNX$8D!1722lXm;>Wj>ml?SB6esXC*H4Sy&Z&-SuY~K=QdC;$eQ6@7bcOx6=0Q z8rx5g+N)nT%**#8IuD>H*9lJhjSqK~gFf)OwT{E@Wt2Kk6<2=t@p~}w{iL@MPv+IG zi^b<2N}PR_`gOy6_x+2ntS2VM*5&mNBs`qcaeaPM^fNr%(7IFC$-tN|)-U+H7`z28 z6TEN#@RRAvye(gOzw1Lkw%3Le7t!`{zJIIFdlHg^92sK)AsEa+jq}L{kma3 z;BbWPZa&&KcQG7rj{+ZQ0}iti2Mz}`-)^&iS4aK2VP5L^x@6f|91bNo;QoZVhSL=N z3=We5JnqMi1J(~5FuxoQmbbrKHa+DyARcj8r2oIyiH8^ZxlZW$v#&3Yuzpi&N$04S z-%fNwj*Waiv;lwcHR=R>tm`1Hd;zZ78y^)L-&?pZLMLd0PFUTc*})^VKh9xeIO4tt ze$Eh&PA3=-^8=5-PuvfseT#n&8uzdIb;Eq%r|ZO$@x*lk_eAi7Ht-Yt20w8RM4h>$ zj{0@Oywve^mH2ry;pcf)*ZE05!_RrGJK`tS4}M~PIeuEc@_x=w#3MhK==XI3_fFuj znBc&8zyZ7g4!CFH`79;RNBz2CKHyN&3EVe<18u+o`xZFd(dsNGb=0pL=B19WtAxYx z1P9zxQP**xpTS`z!2#t2;D1c&v`o(~0vXF7sJf zP58-pm>>2N_}Oavb}iwJ`gOy6;HT@vi9{#vq~q}KC&cpv_CNTEb<4ck^`wsab;G>W z@pYB>dCKZuF5}@`FmH98pY${Q+|arsoxu9RPs}gJPs>-{&-saXNpi`&iD`!IP4@i{HoP;9O!3on3M+bJc0EC2h1;rgXJsl=Qto9ad;yA@_lIkj6Nsl z&4RSYzGXML|Ip9OSMw7SPqOhR)v_PX5AuAdCzp!N>5v{!%lfrb==T(@)4PA|w|Zv^ z{q!JDK1z%3o?*D``(~nFcav6l4zz)n=Sw{57koT#>{A)Hc+R=IWT9WQLBFi-(Cpx8 zI!;f&?jdpPn;G(?+c%7d`C%T|H}}-`?X2=@bWc&gZkP}I#`Wuf@xjkI_tJ4H+OTi# zBysE;^pknDb4eZb>xOx$3W`ztxpt+=(z(}AC>#G~UU<6(Z#A@K8o+P+;={6_p# zziyZh{B)f-mgvNTbexJd@Dut8e&TaE=)`(bNBz2CUh4R|O8i_)`1zYw*YKI5pW)|* z)*bN^>jytEzZ^d;UwJ?0C*qNx&!*qU0iX8)hfUV)a9}*(09^$R_?!>VXDfL=>emhP z0SD}3oO4p0IIQDTv;ha`J#cua=G*P0j{0@Oywve^m2kK!!QmpSE5pjqzA5?{942ox ze$K)Afdl53!@=^E_j4Q&k2oBqU)G7zz2>(I{ah!`NIrLdd9L*<>BKh@op_kE7kn$) zfIr?FQ77PIUHU#MpC=Zj6?B3&=!DfBnjKui@%sFHxWvKFnHvF*j-QN&`Qg0*em+9m zx3g^Decq;i-7p{c={j+>@x;#)_`Czpfj00H?=|pq$?E9$y?1rguN&s2j<2i4&xu=( zpKBjwb)BE|GyI&_^^J4_>jytEzZ^d;UwJ?0C*qNxEA;y~;2sYg784v84>;g`0305p z?c1dU2leZQ`G7-7Czf@biZ9{NQa^vmiF%?@6yuC}P4x0%Mj0YSZChdU35pCaYCC^9w zx?w)x03SG9CN|!Op049mv_Zcvk~na{y#aV{Cw0`X8|I~sud9T^(F6zFDo1eC7Qd2gD-|m(nkvbIwRUr!Oxp^xH4--F}b!GV52;iE9&`!2Jo& zhc@7k^Fh=J_*mCL+OkeOOY+bO+Mp9wcW8F-`#MffCvc7hKWB(XrxT2a`QbbOem+Or zx3h}h=su)=-7p{c={m6^{qWpfCywek6>Z?>lElGJoIAl!{kt6DoWr_x!@Sh-b(Q#e zS;EifT3y!(`Wb%CC;Y_v!B5OD$4|>w-p~1oc;x5H>GyTw5{Uzc#RLb&0}eQk0EZQA z-!ADqM>wcoH_QhdN;>g89jBrVIN+QF9G-7=^zVsuIH+GY%u5|#R|$s`2@bzwbsY!# z85~x0eIvcb`hf%Hm&3vGmG^TT5RW*#f__;iN}rp&ve3_U!g-$l`W6};fK^ySL`6}uJe5`9Pop^z?f=({1X)4k?<4i2R|{t96v2zc|YeT;*p=Prr*cm_aqJ+HWM5e4>;f)1spEb z_U%^keAKTS<^v8ToxuAM&x1DLfb$-3c$wANPU@&%H_S^NUsnl-`W$^vTrT6`_jJ(r zhy(o$4wK(AexAVkfdl53!@=^E_j4Q&k2w4>{qlY2Kr&zGAm+<%av!3fnXl$2CSGgf z%VU$D?)NV8e0c6SE;e^wK^&jIr~RfSy4!u|PYV59zs^WLSMPP!ucTjJOZ4jxq&=Pk zZQv!&l~KRo<9Y9;U(jpl7j4ilt2;D1csdYl|)UO-nrH-$wv~Lb4Jp4ne z>-t4M!^8Px-(daNH<({;-&nr#e!g!IkNakoejkTFk~nZ!OmJX4;BZvh0f*OU`*taL zKI+#E^8tq=(jNDr<6^;M|NS=hF>s&_IN-br9Iy|8!*Wtb{kmaZ>iD`!I2=uIIBsmpDpBks@8>um9&vaB{jyG!_WPd}`t6taZug-z>o=vgch320 zq7%>~;6fYlzeM6uC*WgU2WiXqA?Orzf;Q-c)g780yiv#L-G^Q;aqx3B;V0u^e$SV7 z;3xP1-mE3OQNM1O5BzkU@aOJ2ak-9D(FT4#PvYPwa73N;q>lP^!@Sh-b(Q#e**W9y zSKy52@BE~n;pc|d9i79me()3X%kk6lmG^UgA|CnqXY~6z0UiN|P1f!7n(=@G&a=P) z>&ElhN}iAUb;EqXp`;U-51t2Yz~Pw0fy0}u&UR8q{kmaZ>iD`!IGjjuShu>41N{sR zlT+j89IPKWV179qEMIv)#{uz(1M2N|o+#ai{=Cr7b)psp$ekzNZ2e?8^3$DrZ2kMb zlIR5L02kVTKkfxlC*WgUd+7w~Lnmm1PFUTc*}+>lUZ0C4}jL_YA-b>h@H`utoc{zAv8XahfSKLJ1g((35nlk4v5>emhPQpeX- z;^&styW z@pYAOsLvhO>l0SjaiE{UVMXhXaKQS31Ll{*!Sa>&a~u$lIN-Sg2dm$Ezn_=P_iv>& z_AR@~eTaT$zM7wy!1KrWlWIrf%k$y6V@+&MPk=+N-?T(`yAJ_}TtC;ZGm_2K!*fSJ zdCah*dAfdWCi?YuX@%!N8+eKPN7OI)c;0*I*WXDi=of9!FRME=JHYd{d5-Oyzn3WX z%_@1)?Hk6!{BZxkzIlhXZ`Tq&t6w+FhkfJvb;$VO`t=VwPDLB`4em$SH~(mL){{Ew z*A4Sh$JbTbH%kc*Pg-5yH}o?++|atCeS`I5-(Y^ZePj8``}w{>Jnoyf)9>roKS>-o zY$iA`9&o@t2ROV_+qYZE^HINUm=8D{k@mO``M&Y{(7SY;iZ-yN}}NB z4Dsl6g7GlF*GoI_^S#=>omKos?^pHfhWWrx*NGkJ2c31Dc%P0_(FT6LPU7I_`>l@t z-Oq5&Vcoi6Uh4R|O8h*2?)dxl16J4hNk7BSd0pQ~C$N6-6Z6aQ)AE(~bABQo`FWCl zUnf2&ap17Xx*ZOT2OQoY?SR9Fw0*mz^Bm!ze%&x1a46}-hjpBaHsFABA#gZlb@cC* zb~vbCH_S_&lhO|SD&cVQ+;JQ}BCW9x90&Rt99DFFBOI`P;DGt%aIk#k{Tv6xBM$GR z-#>>;OC0;XbRT+Gp`YtS={^KK-AyOHl<34qr8S-pZNUGH5|26oAL}|uTmIbSW0HqX z&<35bx{A4`LZ(Z7fpP$h7?V92@;-~s`!+hZ9A!(2I*lFX5 z>%=E@oQgK^^Gy;5KR;!4){{Ew*A4Sh$JbTj=Z@6{uW%naZFQZW^fUb2(7GdjV*TJJ z=9lBAum9&y0?3H!n7_wE7bCG-7)w8p+=H@Oed&&*fz6BBrkVf@m4=nBc^?k688^mF~% zDfGkpBv;S%>r9~^-Y2FDu)XJSO`>04lva2Sw1JnnUq=1X`{v&Ib+z<^e$fW~vbsaF zgAYqPo99jAJg<=`_RS1=((N0@!~EVR?XYjYr0v^T<<&^P)UO-n!@hC-I%Irs{ra+w zQ_+TfgZnJ@&8F3vOX{d!H_S^NUzao+TbJ>2-;&jJ{rZa4wfq$Q3=ikE?nu9|e(W2) zZ}-1X!Sa>&^L>MO+&8D__x0aVpw?1MZo?;aaP+oYYajZkU%kzAkB&#o^fOxPE=z>N*beGdQeh-4PC0 zKXAbOa{6WY%KJGEh({c7e!zZ6^<`S3yWMMWPRRA!ODAw1u>A+y(}}ASo%n{df=((+`avgXgHBl8q1ge>A2!c19KR`1@N<=Tbo^vI%xTKj&qLB4=N#8r*NJcII2CQ+C+;`k=Z@7`PwJ>&H_S^NUzao+TbJv^@!4^H z{g$B%EAQw0L_G2n=eisY{~}S~u*td|4vYsJa2^K^ z|ElfVEuH5G2leZQ`G7-7C%&WORI~wycS;;M{F~L;PU@&%H_S^NUzaq?;&5_y9EX3m zx{d?=3=WfLjh}O{e&B%l<#fXGmG^TT5RW*VreD^H(tQZ$PT-g7i|a(`KJ*#uH>I|x z6JJbp;=9rgIzb!ozf$5+C*WgU2WiXqA?!cs1Z~g>t2;D1zv&;O7p_&Gy7I({-9 z=J#G{2Y&viwr^(@zY#yxuN&qAKV2tI8&6y({!7QHXahg5k~sMJ-&RNeUVV38SHEtU zmpZ<#5$MfDxzwr0Vp;UKL+`hpVVhb({tK>Z~Vq)UO-n zrH-$wv~QNIuHT1lVs(Ar(9iI2L+g(A4c3o+qvzrNImhyq_w#*&c-%L*r{r+J-#G^k zo2=X6z<9vnQ_>qaoNdo%D|tTZ*A4Rl2iGs(H+~iD`!I2^mxxPE<~)pZ=`XKjw^cuIl4p`O5n_4v0q_uBG2UuON*3(2X?T z`~FYd+jiUUpHKEX&P(7qZNL%drMTbq{IvIezqyQu{Z1S9yVV_<9el&)nd&FPSf4-l zw~CL?IoVwPXPf_r_jUPyeLeeJvOfI1bgYjytPkgkxIR5+?7cqx#R9C4HmuL;4$Tg5 zp8^i)`dsHrdX0NkuHSx%?sgBxdt*1;Kbt(4@3-ed8=eb32aL}J{teOjAF$^_8=i~R z9hx2B-e&V0yKnrU&SznU`>E3x#>4!sk>1dkAJX-ZUpLH49bZ>TUydjG@_((a^PGN0U*@&$NawGSc|l(= zKk9|(-u2ga-y>@I%KN##ARhGv_tP8>KdkdwSY+J}2gU;qIFA4a{Jnd5e(h57eAKTS z<^v8To&QlCr=krw;JgGJe$47DCw0`X8|I~sud9T^$pnWVx4Mo4{R|E(T6cs4)(;%? zJlEHG%U9mdaX>uc@E!VPohaRBaev=UCrbBO+}C&0iO(cDaSMAsv;lv-Poqxg`*m;q z{0SKk`bisf!s-sq4!+yXb4(|GQs=Xg(~ z#C2lEo&#;*=e1G?{Jf>rSx@SyUpLH49bZ?8pF0UZ&#}7BPx={tZfM;RKe2xB6Z6aI zgyk#m=ln!G@)Pf=oKBpp^IF(s-A*SM4>;iSNZ>GQ&u5G6yZfyAb;EqXp`;VHvgbh? zaKO0$INaLmY$tWpuN&s2j<2hP!}P7k&x5zIx{d?=3=WgR*7*B9tRFb&xuvfYman{@ zENll9^6D&c)k8`g*ScU+&o&-Y&6?QMOuVSQG2Xm)_lUocNw z2fg_H&F3Y2J7DufFX=Z$Kf^c8%io5x@h7$YXnf%}`EgQg?(+dXL6_e@eqI88@f?oN zM{;=Wm*{TiRD7)i0h3@@~wr^)u7e=2)s9!hC2i^1c&mrT3zkhyK$Ej$8?m@?( zdp~D&=8`(<*A4Sh$JbTTy`_YQKW}w?|IyFza9-<<-alABbWe4*uX~oSyr1hH;!*eT zIZqCU^K@PdiwO>l2OOX)z~L9{`79;RNBz2CKHzXf`r-4`lVY)N{PT!kwC6z^aDdJN zhhMTf%Sj#e>xOx$jmaesG@5 z?e}SkV!xNpsrVc$*UxnV_pY2S;d3v;9hP3y%TFdc@ypTzIzb!o$LHixC*Xr$dwp(+ zzb^=#pba`1U`*tnijrw)NeBh_+ z#PLKYS{emhP0f&-K+(pN!Xaf#- z{{e@)TAl5rj{0@Oywve^m2fzn;BbM}bsXqtaF{%M{G5vQ0|$LS_i?a%<^3E7#3K&) zycIa4=a9X00-vwu`ngU(e{wnj-7(x@=|!FRM4}VFDlMQBv;lvNA9Vu$4bh1OX$PI4 z4LV_Uhh_)(Jk;hXh&qw{bVLsqc(uw=%I2CQc z;bsyC4)?V>%Sj#e<$I}-mpZ<#5)QKo4)?RVjsyJ+4l4-`SU+&U{PNsI#cL}&wbHv3MS}+J(qnSPxR~l(jL!&Ht=#N@u*+$Z-{<9K-xjS zXoG%P-J#h5KL53Oj_KEh5{G`RCi{l*FhAgpee>(uzFkZBtbW}vANGyw*Tu#MzYjf7 z$Ej$;zWD)(W8XZ;>Z~Vq)UO-nrH-$wv~P|jJp2u->-&a&hKC!;zQOvjZ!o{yzOj7e z{e0gb9{0_U(C`0y)!&pjaM(<6U_9Ueo&tvlYx{O9c|Pjb4f6p9*Dv2Uejj>>j#JSF z9I%go!(pqlozzjkZkU%kzOE7uYY7eywYrW2{R|G1Hyc0aVEw>B_j&(4V)@GZISzBKDy{ahz-KgsFDIo7YF6CX`<;&IX*Izb!oNByW1I-k9D;_=cCIzbzB!s-sq z4$kf7Ii?dA>wFeg6Mixt<~J+tz|SXW`*tnijrw)NeBh_+#Ppm#KR-`AQOBuh13xiO z@bgJlXFaK-zI-n=@>0jwRpRHY)%E+(ldZ1vlYWMu8wo$Le(+Q4_4#S}%KJG#5s&XN z_50_Er|7&EHWM5e4>;UL+5v~BYWsF8c|Pjb4f6qql1@BL$Ej!o4!4##a5!Rhwv#&Q z%lA?vFLiufB^)kDaCo}absXqtaG3nw@$&@M4;(PR+_}#3mG^TT5RW*_(J$YJE>7nA z3~7&ji%~>hrs!wptNDqE+uHc44$1T3edv_foSxjS(9iX2r_k^Ag?_GI$80^ASNy%w z0qa-Nua6}9^;^;&&w)1Z65~Yu(sB3JuV+d>=of9!FRME=JGetP&oTXamd57iZ<+-48~Pa@&THM#IS1>_w#*&c-%MIzpr1<)p;!} zvTlb1;{k`ClXk%25^diuCC^9wx?w)x;QHnJ#_vNbIu8FXsOZpBF_bi(Qm%?^H^%Ii zQb+x|VP5L^x=Q@Kh1K^f4lj{7 zaM(<6U_9XP|D+vo_&sgkZY9r0{kma3;84WS;enAPtdO$<^w-nCoWEO;*~m1MH~1zFLChm zGOII})KOo)ml}DgqS6N->C;bdR=e6$WJc0FtpO{~cpO&w@pYs#($WP^W zABR`#ycQN&x5I()fWrmS4mkXwwr`h`=c9hzFduLz>BJxDI2CQc;jR(~4zIB~%Sj#e z<$I}-mpZ<#5)Nw#4u5QQ9S8au999w>uzuix`Q>o1eC7Qd2gD-|y1&Zzp;O6xUu*Nl zD7X*N&&*fz6B7qnudgTae5gaG#pZNK`PJ{|`gNwzPkGkw=lZo}>q+(NE;i57edt4p zejT^xKpS{@4~a+pf{*9Dmwx?;w1a-p2K}StFxZeQNM1OmpZ<#(!SYmb^V<4 z2CM7)hJJ>J8_B-G`mt{?zudmDeC7Ro-yk0Mjqam94u7iiTG&i*U_9V(Uug#%*0g=Q zl{_Ey>xTJ&gX@>?8@~^|QOBuh0}l6*IB@tgtFxWdQNM1OmpZ<#5)S7jIK0W~Iu7(R zI84gMjsIR7>jw^)Uk(S$SKiNYKs@5GK)=7=T_>g`pVODS75ceO9I|z$I&pXFSJH_O zCOWY$?Qzbb4fx+*;!!8yV_kdc#Ggw$=mc%h39CCaJ5b%}^YhI*pM@FX(Y;?85A*wV zX$OA3MccQtieGompBaq#mmtj=6gNBz2CUh4R| zO8h*U@bfRNuJeISKiP0iFoAaJ?Zyx_$!Iyyt&A_9S)2K z9DYOE0f)cV_U%&geAKTS<^v8To%kCar=krwJV@fe;jLC@IjN(5-7qh8d|f3Rjwd+0 z&FVT1^fNfDBsgIGzyb5i;b8g7`#BDXM;uhY%Q~?mt#dkYZ;sRN=Q?qspcATV#9c<- z$5GbE{}72soq&&Z?WGfcEA5~Yv_U7V?$GQ&^|a5=x9fZsR*6T) zPsYRiE|PZO=ih1jb}iwJ`gOy6;O8M(1$5$6q7#3w<5aYPpAVHd`1ua2v!2vZU%remhP0f&-K{F9DT(FPnAB@P_kX?3=fI_k^!QX?;Qd|f3R z>f7tS^K;$1tghogKZC>M&BxCZSU+&U{Bk&0zVd#K1L6^f2hi{DceL8=zyH$rM0vlz zKiTgaQV08;HsJV3iO2m8AM@L5zrS1BVZYOc{cd%KW(WFyDzDF0>$AE;vx5h7yz+haK*G1Hq&?<|S>QfPKf^c8 zPfV!(_Me~doBX(1Z0)I&(=K_2qlEk(WBY zu9EH@NqG2StLyuZeujthT6gsR!TO+d4`FFyWue_h@9^z~dBR{H}eH>2dycQN& zx5I()fWwoe9dP)Fwr`h`=c9hzFduO6_s`Wb2kaZa&wf5IN6 z`u$udt|;illGQ8SXRk_h;uF#ydPy7bf11RjPQb^y_Ihu9QrbZ$XoF5z-J#imz9;(p z{FKgTVKw0=<6(Z!kapnbX>H%GCA?9;ZkP}Jbe*^=(TPv%I2CQ+=hGz)etyR4tS5EU zm+#p|Uh4R|O8mS!;pbY%bnGy#MU$i>gNgeg;hIy&u>nhi6sQ?Y*FfcfQcuzcnH90$ZB4$JiW``vY7Lh?DCcx<7c>%@Lr zXL_E{_dao#dF-VUySzYHR{R}_nweILVf%Su*m|u>cman{@^AqvN zPd$(HaoEy%EiAHbhXdmQhv!Q>;Bc+BZ#0|(46hlAxS@8>um9&ym~MfpB-X)@n$ z+I%qz?nCr5^VR&s#8X(WuS4>Dc(2(Ko717E7Wz$FznwxqJy-PWxqhwLdQ$y5V)aVr zoGTOk`j$Nh+Q7>fN<8Wpd_3>H^y}Nw4*EqK^vmiF%?|Ya+}}4lI-iBrWZy6z=Jyh5 zhkf(U+P+;&_^f{2Fdz2KAz1~#hryno>({^NI2CQ!H!qer_RYUqo%N)S`tm*7$V(kx zS83mzwz{rg-?6&BZ|G-uxRLA|tRMRZ^ULiU%U9md_YLB4-{`rgkHf#|ycRYS92gHc zyj0o&hkw`h?N;)9)UO-n0}ifVzHj_K^j#gNq767)DskX&#_DV*b=0pL=B19WtAxXu z1c(2yx{d?=3=WgS@pBH=4;(PR91fPRyr1KMc*H@^N&WpkEv@i*+-ZFW<9`ywve^mH2tS)pebi5Mtu_J3r}X_&Kk2N9P=@AN<7pa{RP> z<^7zWh(~_v`L&M&CIlQ7S+~Q1@qojtWjx@pPusUk$@5XaZkP`^lyu@o_B?0<4zH3r zz+uwrEGKo;uN&s2j<2hP!{G#n@3FdKI9R^&evSj;5eGf5 z_V>H%#2IOw(+NGd_WQX`94Y9;3hS43Vk6NBe9>d v<5aYPpRbiV;O9-P&U#Wu{kmaZ>iD`!{9H@;dA8LRBR~75=x6x3Vf_6645Y5e literal 0 HcmV?d00001 diff --git a/work/_lib1_5.qtl b/work/_lib1_5.qtl new file mode 100644 index 0000000000000000000000000000000000000000..dba757aa9d5dc77281901d9708e36536f6b33524 GIT binary patch literal 143378 zcmeFa2{={X_dk9Iu4}la%QesQJkMmvm`oW9Q9>EgK!m6?NMvZBkQ7lWltL6q(M&Rh zgeWB{m1O$weeS*9KE2=G-{0r?J-^@c`#;Y;b@#e!uh&|8?X~yWXP(;kPf72Bp%2yjl}>M9S$UD@KHo`v=9!B zS^x(F9mApwBFqpDLzbZ#0S66;At{Cld_|#fFb8#4q&hZW`_sFcTsSwQ=?6P^Z|OsO zkFBkv_w!}V;Cmoz+V+r_omsKx>P-nOfFn?%*Y4q$C<*SvsdsCM&+jCiD2}L(vyiAD z$nQSSEp{&Zt@pFonTfLcpTav_hb15E{8!}0Vaze{c3vkZ>G_eG1DwiE{w)g7F{Hx$ z@EbS~SCMZp1bFa4uR&OfM-=B#C|FZiJq)AOBP5W7LFUim10X$c*EBZL=^HLJLnra` zMBlBQ$3H~wkH8b_QJ#D(b%J{u4<;Qgq&rZLqGLRGlyR#lx{x7x_pfw;qo)i4C+1p3Pv>jsRMqX| zm^y?8-t=Go*>CPVcJtUR$#9YG=Z|J=7bNz)A2OEm^Hr?bXCd$R^_^PcQRV5>Os2-Z zD~ir3=i?ip)8NPu)1g#ST*nwha3tESvv5MM|uU86?}zKc_gJ{ z2VY^EDI%#ZB19v2IwD}8q9TeOq%f2i@DJwDalr(96j3nL&fv2s;$B|FvnYc7kgSCz z8{}PH1emPbMn z83{WUozx=o&-h@Wh>T>0AnE0iP((%|O(?TG5{k%30tCq|BL55v7K+G7*bnIBmq$Vo z8Oa7gN{dL?WAqUrxu0O62qN(U93Z7rfeHBhz1v`+h>YZfAl2oOP((%|y-;m=BovX6 zNT*a^9tlNcB+^GUmPbMn8A*a5&E=6$L`EW=8;-Wi)E$b*NTjc8FOP&GGLjF1be2a# z5gCb`B(Rq+Q#$zsfNg}FGkVJ-p@?i#5Q1P!FJqJ337}0O2r^h62}NX^!VqM%i2U2x z0Yzjaassbd9tlNcq$mU#FOP&GGExkJOqNGN5g92CL8i+ip@@t`1_iU_kx)cNNewVu1qzm4g681jhsv3q13o2y%-ecp9QuWIzH%kXsbNa|FdA3lb=T+@c6}OB4${ z?V$*Aiz3()P%Q8~ha$)=ieT_jEbtNwMUaCcEM(wt%14Bg3-l@qi$fu!!)llZJ}5jK zEyckHu?Hv?ilCw_o(xh3-|6f@vJ4)I$apdc88{q4CeU!E$Z#lR{BVbPP=IFz33Ysf zT^0*PWGiG4GKzSBOfnuumpU3Fcqk&{$slAbS-Xg50pF-&GJ=O9GM)@Vh7BK(32MF( zA+Ud=PziF9bz3|oI_UEce@m$>%kmA#;#Ue3#LcXovHWu6O`1`%#}+fi>5bgne!J*T z)_<t{!MO(pD%I;5#^x>I}eOB210#5Aod`wdS&-6wbVqn$*==H z7ejonb?5t&b+69Xxo|&<*x8Lq8cFDf9JW28idW&cRj3v#UGLTlvSFnn8_KNxUO}#0 z`Mjm<@wg%HPuowg?)kp6=&-v=;e!L74;?-4BH4cU0fEEi6WR*M2@J&9I^QONJI|1MY@N&w!iLszU}j z7gQ7)&sIG??k8OLv)<>Hqvx65hhd{`a{gRYz#|ybG|4BXbMcgNmGjfQk`&$T)3Je= ztGUX0=X6jPP%f5_3h`N6+H`AbBUu9-mjhNazY}_4(kLJ-eUg9YHsh^>Glx{!tbe=; z{#S+duYZa*-V$^SpRKs3AqiZ9-5d=Ecz7WGv0J)`boD;n+_qgYce);&9I$J`9*11| zAq<|H$VrTdYygK&Aa_PofKyww36`MpEbB%%83<1-x(tdK1_7S8C=@(Z;pvA#Pg7*O z);?m~!#XH}b2N_xqdzJU!(wuTBlCQ9o4OtxFpT7p|kig6ugQEN|xDTO&YZ>}5JJuFtv0AnI z-*J9Dj!BQ8U**Ams4bWkD}{@3;^2Y~N;;W<6pV)~V!_sIx#GXpGNl!@W9%9jjz}r4 zV=pG?^5LImY}Mgptj130_U7${{ja&!UOE-C?ckAs2Q5cR>jAp*+xMzNZ z&jt7_2Zced{kO5cmwuguI<*duMxjpP3Ay9G=xh(Gy{qs11ZSB#khu1rD^sKbDLA zi;oc9u6to7YVLdtW(1Dtc6~dJleWw&sw1<7EmYY3$Hbf+Zp`1|i}~%hF+_pkt1{=x z@zQzDhdb~}N)eCvg4wWhQ_8Whc5hw|8bgnbekwov7+SjVePe`*qrvl}GX*O$TiMOg zrfYK3pPO;{K4zU3d(O$wRzVC|?b*wD&>PRyJ(0xsNE_Q9ihctv$$!a*-lOO+%I=q5 zoHE(-sk3K?K}z8T#}$MO_7PpvZ47rq%-)(E5vy-^abnn>!e!qP9>=*o9`F9MBaY8G z0=vgW!W>$nU*ifd5GX4p<*nYX?Stlz?7p`c>^}T9euZWLdu)v9%N034&0f6S!%#lY zFqJSLI?V9tIrrFv+dIy6eYl}lnRc)(RzG@i2wIugBsJdT<}dBroBSxp^@IyUR4b{4 zloQGMbpW?UIB^7GZ$^2YhS;`TDM^>PwbHGm;{gX5E6;JijbvstSK;CRp<_!jSKw8w za9;yG3{(=ewC7`;75{AGagt%o{##-1o_fZCJbsIX#&Nc&aNI-7hhfmL*VuzjXxK7b zJoZ`Kt4IeK(=Y+gF{PWU-!wMu^};cJof9;9lRnQTxgCch7HB}7J@V~0q0UNIak)P6 z)^dIs2@RoWd8I<-p5cr%6qZq^SXg|2nJ%X$5l6576EI%14ccmeer6$bLV zBt)cDRQ+PAt8cimZ(vkEA{v=7X2ki;5*N6&Wf+#DW=FaQb;9|iT!g=mbOg$~4~uEr zsRpbYyENh4p~>jjF7DqDFh#Hq(6zlAzeyy3Y}$F*PDJoal-LZkxoTZu2cYIL|~ zVGC+)+>G=OB$=G_nK(`w;D}W@`=QP^J+Tz1!}wZqzufWc^W|~^`=x&9sW_3+gY-6) z+b~LErTI0C6*%h^a1M9YbwZsqf0QlM;j5B5jDL7^zCymQQzp}2bz93myrIRrwTw|X z+1>!}NX~)JIG=u>pHSyPgclrCQT?B#hF#vzKrWx{ zY7#D|WbZdv7E;xiO~x{ zo#1m-Tu>)%rMN&D6UIPRX1{<&$T_9vRQ!}tW4zb7Y1uT!J7+W#E?>OP?R_18O7h+s zL6r(@`O0x!*s(j$?p}cUhZfX!ZhXzCDhl~lov^O8&Pv*{A zi?7eRkSWMAh)o4$L*3wWwMf}5E7b%ln9K}hwf76?gq*W}nrb~sDOdNuDOc}5%hmsH z$~E}Uat;5Ra*h78+!g;#xyIyjr!3#adnF8`*jv(sSXfZMJxsnHOZ-7!QI82^_B>y& zb1{(Hb&%fJNU+HhTOq>K#rE!3|$8qjg8D8%7Uqj z2vH7s&zVktb%iL8%{SN}%G-62#n{LXq5_z@I3Ox4?>XW0*C>dJ*?fZwq7J$avKkvD zLsTkL7pW;LGmPHEE{o3le$V6lQF#q;r(tWlw%mkAGt?4?G8sGFbw4 zZ5@BfvuCH)?qs@fm1kQ|YXwBpS&&wC-^#Y%)w#=N19N(A&dXrd&$W$Js2yA@BP{p& z8-XQHl*sT_n_W|)VZ|btpLo7s=543t^|-iKdvl>b_i@*~i60_7DCO6C$A0qhtBclE z=-Yyv4Nvkb2r4f%PwJCgMDH<)>_#^+5(RT?9}yB*v|UJ^I+5YwZC#t_wR@jVKw!x+=7`Xa$ns-ippuX z+WJj%-Q6kw)h#moN8d9t8Xgb3+ltvJ*uYd*As5}<8IsasDY*CZbVEhumzdO|(Rfh| zXUmF$2rl2ywxIj066N)Dkx3bwYraddU26|{{=+@CuhC)dVfgy__j_KnWAeb!SqDIr&SdHVG!6V-8clXRI~K?Np^>0IV(5Bteq*9lnl)x^Hj zHD17Wnm%x&mMQZ&ZVOYMoq8EEkvq7!1g2IJL$$7*ubmW^j+^*+wI0Ro)!Te_Py?qw zf93ZfC7r!i?`?1G3TYJ?*>cduXHv0oU6H$Ni%q^^ja$YR3?e7?KPc zH8tKY$`f-=N81l;>5(C`gO1+Czi-%-%S1imiCgMxiw8fQ1iRAYng$lydR?bhl@w={ zJN-Pc>55m_liHUmEjByot4Q}zSV@NmoKJfd#^luxtvwZV%Tn&hw)Lz#vuf|F(fdW7 z4rg|~cjxm7;dQyLwaY|cxXzM2*p~GS43=gjfdFl1V`?BwI{*LLV3G^o_P2cO@<9%JPa$w`_ zmUl#f@AzYPZaHl&MM*srl%Jc7aW#+HvGpe-!;ywkpI$q2VLZpx zEIzmKq@v&{xvPoi+&%BDPOKT;5q&847QD>?cNocV9Rl22WFZ9HpFldhKtz84O8^TC zL?&d02jp=16W+hT6v{)umFo8b9tZR17QnoOLMeEh5I{oxND2} zNjuXv^Xm-zqP_`;Q* zrS&^SDW4i&ME)=J%cJ0_{eVjUr~W|k8&^!xul<7}VOi2o?o;F~6iU?}ru0kL|6x7w zfS+H$Yal!w8cje9VUvF${S1r5AI2M)0S5-@zmRrO>>}BKhyKHScCtMjJ!ojyAEzjG zcqwu!h4qH`cgxt}`oj*4s|yO7Le|43(;@b`b^#c)gZ$)(M`6*__T5ae`vFLyAFy7q zz7!e`wV)pIJHuak%oM-KI65?Wm;gwK`?v98xp4wf0|~i4?2G*uM~M%t*J@BNcwR#L z)Ou0sfrjUcA@ENOP*@Lf2m|90RSr2g9#QKJ{Riy{%7Kvq?Sb&@xJ`gUeYmm-;{YoL z+a2LSyGsE6-|WJP?ozvOMSp(bFS~G@f_7p1Lc0hL>`DRt-|UJ4DYT2G_^XNVf7ylp zL%XVEoCd-JyV8LFH@muI{G#2}2>+K|*q+d?H5sRY@W8GN;Q!68BN@MF7shpI95?|F z?fQ~&8VC>U$^ri0>~1FGf!%!&hl3q-sa+!Aq1|m{oCd-JyYhhlH@i_}{G#0h2>(|c zV7o%Qd&xKrga>v#0T1nxJM3TAy#g{$jXX|Z&@A9W1g`QpqFS(ZRz#dwW z!k+^j7U+>rUr=9Bay~ARY@htF0LZ8G>&5Y97Ibjfj~mH24GJE8g~C()DE@=@LIi)W z&pR@1u|C`W@Hc!359<@PgopJ>qwrt;sLug%KCYQ;f3Y6R)rSs50-iS%JbLU8|3Cb} zQ|w^=9OvNgk+3}}6m}zUV9>C?(EYjgXR7Z|W$J1jSU zg1>eGKTIk87!CUs^n*GdLge5&NxkloJHlV{oUZ@DJ`csdCZOOvS+q}`?;!Fe*Wv%x zJ{-rO|CDiK(LQxPgUJ6k?DJ9V!|`mX|J3;pA_w-N-*DdZ-}(<1`Jw-maeC2z>U;^2 z|8Llbzwtr)oBr^hIv+yhOX5TA_W%wKFn{SiX?&>jCqxeHQ~S?y_NOW3 zz*6A>`#C%4=kU6^^mXSujAB4bh0_M(v z>lzE7a2%%t6t*MPPjWsUR|N9mc?1YJFt`;!6Y|vg0>v(lS|0p0hqJ*u2)Z!N(6lYi_W7uvH16vjgr zp?|kWl~e8QrQm%j6cBLy6(`tUupQ^YJd**3<^BohoAlV^IY61|u^g26EzXa=Aq@?# zHy|?beh9#j`>A?h1;$+kP|)wt2&F?Wj-PTMUloN#%z^gBi2xq@;ej+L`F;%E2bzFf zXctPMoO+*l8F18ghWbhrIaQwl@KAp*piA{-DSrN`5B*zOK5Q?jmq?*d3gsHh=)-pV zC;c>#yR>|rW%SjS(a-ur-(VSiefV_HQhjTR z-WLjmQYhcEj6Qs3=%4g|f!wA3A6`b^co}_o8?;nEeHnd|W%S|vY^i?sGWw>==)>#W zQvJeZ^v#yh=lw&!^bdW?^$(*AFNh$%)O7@8+y;C-$c5viDxh#2h7?1G-U`Tn9I^<9 zP5#p!bvmWpM9Uk^LxMq1L7bL{aT4o40bP|zZW6Sgf6KcoEI;xALRd6>L&_Nuzm<# zQa?($L<;3}1UOhf>UO2F7O-A||m~X&!uBH9N1u6nNfA$kN?}q-m zArzBIX@|eHcQbPT$bl;aIM_a7fWmknYT!IUc*^`8l!LoS!I9B90t)Lb0VwPj2wl=IDCH6P;T@n$+X2a6(he}bupQ*dc+(FE{;&9udAtT9U(yb6JcR9# z2q-*nEGg}vK(>QM=#qAT?GM{Qkt{bI19WLSka@fYA_wJA>jkR)AN8WP125=!uv}_8 z!21VK-^F%7_1U;j97l>r|5 zi_j(Q0sApLZ(pu$;y?^NScH1ZE4@>MjpBtRI(C}G$ z;*do+R*BGX-RxC|u6XJ2Y2N!x=iMLOpOaqivZL0mgv5~fIfKx>#nI=axmx4rfw+p1 zfdig>R$0#P(G}r2gONS_{xVqs{&w8^n)|MtS#|EQ;zByc)W<+ed&%a8c}^fW2<4unDek5s$I!4NLJf6R_i!m@Vi9a~gB|!a5SoEG=qv*Y{uZ{>$cEzV` z)!%%ny8gh2SZ)2*&ZOq8M7xF^{om#$!=L6%S3SWzmCZbUNojk#$ELXRJL!Ae#JL&^ zje^G33Y`=FqLo4l#Vdz*v>cYj@A`etu16wMgMPq0VW+n3#*wGLWJW*hUo1E^mE+a= zy|l-fhrRjPaPx7|?r`2l;f{yVW1$wgxz3Xv_JYO7H*SAm+L4#?NVadYU1iyhmd-Xh zriKllj1Tmgsc}SV#cilNQL|b!PrV`VT{1!L@vWg_`QJS{k9d!j6f^ICHPDc15#8|d zTd2CcV}63>m)zC9qLm*4t`wd!_{ND3)g#qP6y~>oGWA*8KCZ^pQL>J(HTs8TL}JjB zOosCc`!_XfbB#SVX=~QARD3Rbgg=Yt;+OTi__S??~uayIWXP(-WESQI?ov`!R?Ch6tq~(V|$$fo=PSHm=I*g~`L3L5-EP1&V zuHI&^KHolAX^Z`yWt!E(uqAACBsX(kD#lhvUoEl8Cg4Qf?b#K_WvpWVEdjwou{kG+sJ(i-o;d5Qsu{W;J zU!TJ{2sM5{EY$19!^~GU44nPatMR7ZcjcX$Cee%r#IvX5Qn;p@e`oFz*imC_cbLGl?+m9y;cjj8 z-vq`N+^r2E5k8RqneP`8jO1_I5#|Tw{yTRfc#x0Ko#9(TVZILlK56y|T)x-A0Q30I@!1NtBN>Xdvy zVZNWJMu47Zj3yxJqA_Z^qMGXdqA{W|dqASD2~t&29j!fkMAa81q8eJF8vanOsUxbT zDypT2pma3>3j7E0L&2Lz_=L6I)s?&#zA`Wx0xDe?0j^2p<3e_F`lFXd>JVcz@C`qp zqYKgkDKB`}fE)uy%gXMp;?;(Tt1}hS9;3w|&5z9Mpdvh;Y#JMg-)jUBO*4El>l;8a z5N$j}1+4dToY0DYcLxG2rl)nq0!=`AUf!5Ir2{ar;FcD(XfAz-7&B1TMGoK{6b$rilu8 zJ*0c}$F)m0At3Q{wI;i&%WMju*c(QfR1CXMQFDni9a2t3_iBOCcjX{Gq?x^iiYP7p zlG$ePWe2p<8l%TNE)#nF6`+z)DuGnYxItwlU;GFXv|cfBbtaK+kS6Gvn^O@?Y_boq zPfDVo6_4^`yyw2-`Md$e$#qcfOi`$FA}`XIKQbaE$@Q3X9!)-&rwFJ_V74{Y&e5JP z2S-*`7*~xwqT^@!h<$gbIn&2%8bQyQdC9yiO`fubWs4(tVe%KcFJkv3Y#P zo-f>kI1_(M#%tKX+8a>x=!>6JjF(8~IPi&I@Jh-h1rcmVLexORe&V8-#5b*RkyQta2MYlcKv+|wtHt@ifn@giGTVjT>WOJ3?P;PeQ&5{s;A0w7S?A?LP+ZNt9%7X zAQ{oPMqaT5sDhOV8HK@?*CF7wdoHeP_pyFJET%8FQEhbbm|S6#IrIZUo;^6m@`bJ$ zqy;ry=~ToQ+apzCSiBBI#MWF9scqd2(nFz{Kd6Y>)Tpy7=kM)=h>EL9%F##5LAtl% z=3)nkE*+R_Yb%V0h{sy)r0m+(7l2sRj69|q$#zdnQ5mZH3L(69+ePYLg_H{cip!T9 zr()_vYV(eA{s=-v$YA0KTu~^IeJcFC_w~^muITk1^9tfVz6K?d&%n!M&5LO}AmE%c zOZWQiHJkxvohWbY<84b}BXLc&qYF4nt0UR(U@c=p?xUd&-<264UYG+!)1?Hb;D zaK{QRu6Qi!%Qxh=0}d5#WT3OtlY1=0+a!PJ*XZGl5)7{xV;g%48^bq(WfflKpr;Mj zQ3CdB_q!Z;+qUb?MkJ`l>*a=m4&RiIY+Vp6GEQ>)u^tl^$&?>i5S6u;a3h_04VI)* zyW^Px26Nyx3(uuT$L+KU6SK^D9i*>sPt$#5!3E}aVMaL!4I zZiLv&rs8*wZ_&z2Ku?sd-Ooh zk#d9SYN|z#!f+FBOBl;;OWYV`;^e{F{ehu~n@!TQyO?m9oB74Mumb)kZ`k*K7b4tq z6!Fr{O=KA5;CLpoYJ?+ambvs%aE5T|UH0c+#NHje@A@NOIXtL+&XeJ@4Cj$}x@uYMLc&!;%Mis+~_^qA2-hW?!an;-6kK|R-6rBZ{Kcef5Owp ztS)v>zPJ5FU&`~_m37+?K9ti6y>C$#i-0~5GiL7{FmE6ZRWinS7^ z-f#>VNLO>6S+PfteInI#Ao9GwnS7hLQKX3EZ-yv+uKtUgBROlv@v|Q{RPaf>!G;fA z*Mye%Jo7F#sDOim?BHt^ zhHQWCVUMO0oR+U}au$Y8uq|e=b@2WVHPR*(U%4to`m&u&yF%W(1%~YbB-4PsahwL* zaMKuLMTmXS<_hn@P-dT{biH+&rK6c7uh6eq^TFRXu9mUghX*%1_nI`J!HG3Ejt`ud^8&2Z%)5gUF@`pp6Gfw%)C4ug`l=mh7Q;)aG=Z1^rI;pm% z`X0+y3!FFoOFNto{lAUqhAa1yZUFw?wC~@~ewvj)th-HkIc(ntI|J5sf`^2F&djH7I6-#vf)nQ z6gKd3K%H_lvRwhH;Iz0W5v6@*vrHa`(k--7aE77W+x6n_7zJM|pFI~#Wcyu;8=O!0 z40W*0x*4#XTm|t))mb*@DH*!YDs;_C){OMo@q6C%F}7Q%(tACB&DO1n>sc-M9O^85 z{Yrp3xK8n1)BPucEM&5utNr?_wC~i}z-JY6mW*Rl>SyBBhuGLla3*Ef7@$sXP;(vB zIsIKcsh-6AwOU4wL8B)~sY()Ua??bzTJ4DDX~sxqP1#!!eb20cZ}?*6Wp)JU@ZTCFX|pwkm%T`Os7PAOOSzbRMmKg-qsZ^||J&vFg_ zn{ti*v)mQ`O}WP8a!sE5N>+28M6qj@MlW1 zbcHC7^Wtm}qss#PotmjMz_+j#oDdz$Nvm5bLwOkoV9wcB*%8x zBARK7Ax}?JKpaGekCRqymHD(LUg-Vc9%gCxvE354s??vcp@ufC+~Tn6`zh~DET}O1 z!1?)|F-dx1!VCDeE#^@N<&VAbfA4Yu9eb7gLg&pLgsnIDk14YcZ|LLbZbo0^=ESdK zDkfy{Y7VE9yfYo6vD?suEMn!}SuA0)NZUJ*)H0jY@O8Lx)zn?sRjuZy7FbOKdE!EN z-`oEfFnwvGY3zf463jJnC#8`%)MBxXB*iiQVGt{>%ur<+v3HQ!h9qspw%h&-UsBr5 z2Y6fg5`2z4DYN*@apKv0{zGWew)8nJmz5&Bu3B&G%#AjCt7f$oXEi+0_v87sIJVZO zXh~O2(KQF;2~nz=10p1$NRPOEs%WV+q8>(2fiNYfFLapH^$Wkh`}3-1o>S1pmD?&s zSZ(}xW)*o~SjPC}|F*jwUx&XR#u7VL z@mc8Nvv2M?tahJyYM=5pnN3R^`F3A7FB9K1z$GbkwV61B<0k5TN z^7td0H#n<<5%!L)hxjA?Wv!&Q;9ZzVLIwJs#0&*~^L&zH?$?eSKatsd>jshZFJ|KD zR`yI*qe|TER~~O=AC4PnhSlVI|<=UE#D&3u#C?$}9BFn^$}vYIq!yRK}i`Qn-0Dp-v#IR{^{ zhP3cp6XqT8%Tx<`HScB@jvwje(tdGtl(-MI+LK-CV)B_s$|sdOAKUG`y0>Swk*HOb zfYW~UA{qZm)&T1me5E2u^znx(;ti6Z+fLH1+Pubi3z2&-tl4MFo|&nxwA!p-b=dgl zq*u+{>40l!w>VD1u!{#FPRKCf1xb7Rgy5tA8ZSc}-Nuh2oSa^vy^j=jCi>F%b!TpK z)Ib--&gJm2&ZBvbG4Kw4E#WBYR0(pa#)lKQNF9kW#5;qI(@g2_xRq&YhUA19URpo7UQ(XcL;tjs*Ig)l4^bL#IdKO z&mhsv>Z7Vj>EXx-zcV4X{Py56_M{yH4+um*b79Bzd|V+F4Uc8SkH51vvhmHc(B5jr zG_&f8-pX|Kfu~S#A{mvt z3rga1GIs_;7nv@~#jswH=ecm5SN+0O!v_p>Ap<>lX=yG4KMy%#H9_;|eZkmU+MC85 zq+SO&oeRC)VURXywNcDOIWKT-vq0a)9lP-S3#8v)%(;m>p9*{S^A*MxK6-gdT;PXw ztrjs`i*w(tt<&GOxJ8#XI3V+=0_U_-i^`w_~jHiDk)a zEZU^(?kIN##3_5mIh75UyPHc4SvkwwXe&-U9mPIV-aUoCG(mbY<8_fZGbjAIiSOq3 zYt|bMiObJh7YzQAwy2%5D)C!&>&6Gw51Khf4Tp=j;cGLT4`|4nl&1*vgrW~yaC3XS zK0?T#7d0^@5^~q{i;JS!&u|9j{}d*;MQRd4NiWxyWZYapZ}cPDYO9A31U$80ULq-Q z8QPr{)}7UBh1Dp+6bW#-yIX3SGf?r@ zW94!kSN<5`JLX+BR__nm#CL9w!mbJ|>kz`Pu^`2}aC;N|ZA4X%@reYX&!15gKkH=u znJ*y2!tJgVdTtfpTH={)Hjj4bsiI4=IeTua7ZP}$XbW8;wegni2dDj;C}L{L&K3f5 zo_5;@k}BQd)#CKCR#C{^Y2Cx!+gS7ScyLb_8eW#Qr?1BIL`G+W4$D?yH9)W zf#9Q#fUu$8QcT*e7kyvj46=V%b()&Qod~k7vh6A1yol$RCOM>W2@~UXiylqoyRiKM zUw4}LwUgFk>-LU47)i=X$5;N+3uhp zSQ9mqUpyhakkn6eA))FIvFT}9SvDeni4wC%|0!#_C(n+2UX`=EKJVaIs8nm4urhOm>mA1p4CYefRvN!;jO5o{sm9l5Un;_v4w6a~+|Lp1 zb48P$@>Q9}@dh3hU!`na`p(YMVrd-(mHdI{-7oCIGk$Y_rK?~^=WW~P zi++ESTX=ogD4~H>-0nM9-!qq&W?#?(Ih-%=UF0TsHfZ)4kzTnxF}pjB?!*!WjEuVp zPnfk|6_9Kjb!-*lHcx48f}J6LO`IX?YagEb!@NfAYrXd4w`bkT!84=U*`qSbDiTszD zoGxXamas=#f8xTKS$bDlRpi>*tPxfjd2!rq)E9mA6lYD4-UY(>5^cNlq&HW6U*adx zcZ-P!jy^0Sc%IOH<4t<&vd`44eaiG10lGNxEc^lM_7^-66TB{Q4?o6i7+|RN$Ism7 z`n+PrcjC()P3uCzil=MUpJY}k+HS~5gg17Pk52cO`bE4 zY(YEWh|&HEK7{>2`sQUM`;@Srt1*nFqJz*mZH;kR)_xLCb_8!ti!R-%W4hDQiFj9j zE^I;TI-;_cSJ5gdUx}|;xz5Ui`5tn@D&rRSqOIa8R*gRA8gT4&X@75zx7|e=@lP-( zqFTiLc=;9CRKF&ONHF+X$Dpvxmh`e#>RGn3OiY)0A2`-e^KHnW=PgCgYbr(GJ1fBa zUUiyYw)POZx?^Mmo!cQ4{T^o5wQvRPgItVo4Os5`3@Fan{{?UrIcoY7bAx;8kfpkO z=QOZtuN+wbsMs#1msE_$O!wHd%6?>ZGQfG#P|Xh{4O@fGQUPl3_jW}p3nOcw{H^S8 zrCS6Lb1{DS+YEAy^YmAy%D?>yeQ}H6!4Eovq_KE>aWQsK235|pQ5gYneoBy7-5$mR zh^gVD>eO6KH?l@*n^!Gn{?x)AkPV+S zbf^$>XXYWLTNX$;{^{%aOFsC5^rG@hTPmXDQ`O1sm#fubIm`-LU@2Xa6_5>ng%7Ea zbQ2Lws$Ear7@|rI_Ij$1{&8W`sk&qHW}ZiV$ReB zC-=k^Y^U-xN{aw30<;LwB0!4(EdsO%&>}#K04)Nv2+$%xivTSGv}#K04)Nv2+$%xivTSG|1U-WJ~rQjLOa5T6u_QtX!y(!+}ptQkNjFn zKKbzu3P~e^bl)W`Dh+QB@@&Ppm`f%?Ecd}iy2N}Nx;lZ8>aL*Cw zM13}*GV%)zY9>f=fj`+&OjgRA(2Af z!wj2D{$tO-+ktzVK>uK&(7(Ij&lbSmN{jv>@_+Cz2rB%;9y|Xlet|tFQV{hei8%5d zn@rg=>u-Cs!1yoq6aGoYQa=&-Klo{g*!_FGseXPy?EDo!7`LT+NQ6@K0Sp{}?Wr;i z_tK&4y@UdLIUN0=hGsiy&~7(a8`Fch1Y< zi=wYs_o8f=bn~N_?3{l}narimAM3v+9sJnT`|i|-)DvaWBI|_Q?{Y5c(qXOZzbXi!@Z{K zUQ4}h5HtCLj=V`R-EmhNKMb~Xk7nr zr{!VYA?7#F(u4>d<^rhu;(X>ahdI{Wdz1C5FpZDz;xWmYJN<^+zYP^LWI3VsimA38 z-IQOXUbP1wJhLDln}QuW@%V|r=N07*hu-RRn@TyKU<%VXRi998p;CbVNn9bju_Grr z=1UD9TP`myyXIJnsf`aVe9AmHWaoursa*TlF>f6f{8YGqugA5MbJwK$Qgz)7c7H27 z#5eRxBeXey-;!?fpeGYYo%Ss~tI@4DUVfT7q_aj@LuMP#9(lR4hT)#wZ+}SnDi(j+ zH2AWq89OuDzw-05D4S|d`f87^d4q1Q%Uf>=2E7Ww6_4Tvu4}a#umy6(_B`idTGPbZ zCj7n8`RIF*2OqPvcJC%-r1iVw>^(01j3{bzA;znOGvBB|CuGd3X6?QB?Au#T2{-Lc z59Ydyrvetty^|~7yKKa6Ouw!DdrO96#@>tXJK}!E5C>`vTO!4xKZsTLuW1**n5e^A zvcE?9fN19ZE(a|G!PSTM&9&a&cXLBI*X3>_-3Ypveb;VCX183=p6e=39aDU@((?&= zbDkG{q+12cyR4L>4v%H)hBV5~z2EQ^ydThiB4u8FZ0oAH8vgztzN9FpnJKT*Fqggw z(PxF481<->vB`#ekI!kZ&AJ(M_-J-;ccOJH-LE^FKhF&aE+pPY$0`|n&0@71Wqz$j z$*kD1rqIX6!EIOp*VNO#yS(1y`jyrnfdZ|UIS8#&iRBU%+wZ!t7Cfl>v6=4k?x?D7 zfkyuC)_EEi*50V$J1xpl`inEgy zx*TeE*FQsudRfF2zaq_4MfJ6D0iBavYp+g{P-p*X zbV0~r+34ZJdUBtVjlzGNzt<*w%>S6+9o@}+4^+<2Go9EeyE^uZOF8k@vH0xwAqL?t zmR*Jx0t13+lRNaT4G8(H+9*Bk&To$%I<+$+JdYu5Ye?_|yZdF~@f>MQb}rvI(}g42 zHYEM1tv<)ftKu;eZL!XiDQl+fQd<1)a2AZ>%q)M1!>;3s3l%4a;*Saisw_mc z+|{~yrS(D*OL1EE?IRU$^A!s|P8NJ<+PU}IJ;y`Whl=CcFh9#AVhB4L(%aI`G|ftw za#vjXbrfamb@J`+%jv}pOzVery-rQ&9}c5Wf17q&1pBBgBl-IF_XpNDXu5m+m{BNV zYwEqLaw6VE_&}RT*Q|{BW&MjG2?n@+$eS*B&ceg{nNSspod)SLJ~7S;NiGM&9*?oEsd!-dE=-`?biL zG4a*cX^Z5fwpgiW3DTx9ofDk~p=xF6S^^)L<||3H-`B9IjX8Y3Qs;90%eIKn;~xdj zM$DQw-i;=9KGT1Py(jpV&dDyOXm|0>u&B+EW$nZ5iCRjLjRU_=wh_8p&hlon62i=e zhE{i9!`P(WRQ~9J+o$2=H0l!eD$OP4&e<2n&j(!l`m{TF6>yIpt#!rAh35JO%=n#~ zWS+d_E}(7x=N={c;OYkVC@BYY=^iCpLbrkKVZojwq5fNuy+1aDZ-F#yD{2cCQ0kr~ zYT76t$cJp%>JQ~UK3b}fq5y?^ftZ`Rm_l9^t@OK;8xAZ1JCQBk#51AG!^xkDA7RZnm<4yxB^OXt=rtvfm1MClxJKu#Jj_>Y`8s zW&=%Rn-wiJAk@@e6l%h3Q7vtYL(V{?ey>O%VR?PYFX5e4`}g>EFM-G1Qcz z2VQ&!j=!D`ran#n{Es)xEHZ$yPW^lv33C=B_P-l)I78S)&! z!8b!9bjh0`DdiF=l+z5cw|xC5{{F3gD=B)*)$iFK^~(o%SU-d=so!dlzqEdk|6i%! zd4PlUL+FzFQOYG!D5nKtZ}~U#__6&Py@UDosmoZXOZfm=o?!3;-unsz%RjNDPJpNt7 z{XyESV#UFZNX51k*UFEt19K$Wxm$2SXX1cY-ZQ?Iy$Fdpb^R~r2?=rq=dhNm?rH;p=pF&e&%L-iGNt{Pt#+}Lw zj<-O?j4(Nh=AqG?$))Qh7j9HAGS{%&2?=OW|EX8?DafQNe%)~A>nG?YMXxEo11X>~l(bb*+NAin(9|AHP9K2WoTHP194{rdWPC7HJbBZMEaiZ?~* zt=`nk#*r$%-E-c#o^JzAw0+S%!*R7B`;iC#*PX9c?_G6FLeKC``sSnk!3{6m%}d_? z%xF{JT6_2K$)tA`DjSn|n#0a=TJ{TV{FH5-qrd(Kx6GTHKR;Hsbty2L?K2 zD-_7(vfFnc`U*=+rmlk@@7EyC(?_mN#J_(SJo1NXW=Q<*@8YY*tR8xWxn7Wc&GxHu|K9R59a?7{h^)mDTR%+qY>IYPDc9?5 zfAV424(q&|-K*3`UB+`^b;`)1_A(Mj9{eYKl=SNCmu^%OtHGxO7mpU$WJPV;i=c8RtP zls(g$6{pO4eS7K^Nn2;mcbK<0{EAhzG5h-XQj6}}`kCKztxDkj@S4u;k07nGjkUCU<<+mlE;_@G9HYo zYBP@GXM$H<4FBoBMse#C%P!eF4|N}-9vsf_QZTDxQ@>r1>Grob{lULtfltx;1G@An z+CSguM}2BGd?Wd(+5g*b_}k&X6L~rnya*7Cci^ej|1)p=(;z<(N`B*?8u=kp@*Dpa zCGcTX@Y|z(z>}n+T7JkgrAuG>2jBP(pZw(9Gb(QLI-Um*({n0|@5!^#nHkR8 zacUM0zO;iD0a^rT5uinY76DoWXc3@AfEEE-1ZWYUMSvCoS_EhjphbWd0a^rT5uinY z76DoWXc3@AfEEE-1ZWYUMSvCoS_J-IjR0*sSK4;2uy6j~+RnA}No#D%k@X>lx88Wp z%du;v%)IMA!4eqr>U5cMms|7iUG+Xz=Wd>#9}XRQF}>-r)(Q9P;cC~6O=jAY-8xxj z{0BdUuC!}8p^#IUJzJ(1A#L@h)MgDc593Izeo1K>);JDx6^Y%D0DmwqotbD%?lYNaoaP{iFwo{U|EeU3JD5##h%v|G1CSx-l=67_uCZ{u5G zn;WbqiyIG)4w5olzbdWbp8Vs-vE}pn_KFR@iq@U`n@9T}M4CMha(!;4x8sR+!kU-* zhdQ^ZrTmKaiFvEVon$Xyv7wpWSjS{=>yM^8>kphhrF*#Xlj&L6wDN>nLQk!*cC|)q z_0Y*L;j=A~`G=KR+w$l*H9l?H?9+Stt?P$#sE`o-k;Vo?)cahHOHaC^=f2dc2z8lc z-oLc&#?ye~cROF@7Mwry;;rm-pg`y&;g4%el1+7A$`s7JcTRsXE_!wDd%BPjiS-Qs z+L9r~0o;~>E2kekHei-)QSLz+$>$|?4Q5haHtH)?>^!3 zwX|p3`Ha^V`_@{oc(qa@?5Dwr?H>+Ye5k}dn^HICJG#!+UT$7raXiHNnaQWi>FaT2 zYr2xNj`P=f*LbWcluBPn;BPd(T9CX){|PI*>2c29s4C_Coh6K7x|OanPX>f)1MXz9 zJ5KT)?+RGUKBN%+|FQQTP*H5%zHc|(p{LfjdeqFVyyT|H@qP^C5 zooY9__${LEG>y#6zVA1A=$_|Fj!IwEgQf0JZ`3i<3mam^9V&Q***}3cIQ-N~A|^}? zCyfc)hSD5KnD%K4x@?{tWV;?y)|1GbztL|~UmWxkofhMDkO^UswcWK6?b`0Rkv!HL z>85fcit_n-vQFbVb^Zi~%g50K!4F&-s`?A&6jgg;2jUw}Xz34(sZvXbI(ZODF!5V3 z^+JBqxv350=%*ia1&(}K)M*$d-t#HkxqZ=2XsA)t{_d-6ukV))TLU~F8jVMbs+?^P zf0ku#hMwJ#&=gPhte?B`{@hK{snyG;4$q^1`mR<8n_7cuPSM_VzlZBp#^YCFZ}VtP zrBse*&1fsL3uN)ER_GFpH!zIC^#ij8>au?xMmyE=YZ9%N?>-s7o5^ebh4trz=N-%{ zyzsef{oyFVkvAzJnjWh$v=KPjJJn)b-p`${9BtgaTqCScMbY2z{pYRsp*xS3dbDq@ z;XWBOnxt5`QdANQ3L1?gB)|+MyVoe>nXpcjxwv{Q1ldxk>{6G zF}r<=xgF>+a{fogK9& zW!NXlIX`~%d+1n<*;eF-duW-@X0=HB`AhG7jBQYvQ#YOO5h+J!j)m^JX|&&LRVN<_ z^{kC@DhbsYATZW=6jnY-xA-+HFJ$9AGyVmqmin6L3+FB}v!2(TpYbeDXyB<2Va>E& ztTjr^IiOZ}6v@;&@}eRJE62;`#f9(L? z8wQ)SWQZKf_-Fr=j;ld`_W0;vD$k~a^S0p<`DxRUi3#7B-7%?n0Z7FI%izo^rxCvwe%`>aJ*UvZ@25ETDgJ$a0QiImu|7*dYb~Uc}ogPHP|P(NCrGdOuqW#*LONST%L`ioa{rC3#4ioBgJh^`V@)QlRKA_ZK)r)kr~>}^Qh!|vDFq@QTm$;(wRVt-g?gH zqP5hkTtJHg)4BdmI}4_B{co1ebTQQF)I?o7h{Ph8(V(CV*ul?N5zuI8^e+vQ8 zJRXXRA_UT_9GO7zJV&nn-Rz|Qzqk51qf_`cX}0;4ga+;JiB^QByMr7HHN^X>?P-W(-XQ>JsmJ2W9G zdq~)~ts#2dP1gKRZ7>oqhZ&B7~Qa#eey|B>!^zHWs<|pX%uT5H7I44t|CE#wy zH^7VNAG9~UZDEE_g+dD0aP>$2Z#f0P;{*wS1V92H0gwPl03-ks011EuKms5EkN`*k zBmfcs34jDZ0w4j907w8N01^NRfCNASAOVoTe@_D7`gU-AJ8)czPCJ24;)!&jD;IdLw#Mqy^ zejFGoC0myps$bK6qApOnc0RD$zn`UB>(1azx+>CG!+W~qn5}hqhkb!qf)y&_r^3WC zHn<6~Jl|S-{*p>#=0~>p;5O;U*QUZ1Rx-72@{ikaD_m!WBX}@Gj{NYWKmIh`@8n=F z_1tFQxfv5h+82BudyIRaeTTL_J-i>KyiTZV+ju3JFzpN0SDt5y0Z706d3B!=1sHp^1@zy^FnrN zBoC`3i6pFFePpJA;}7c`+YiK^jyCWH-xN8yFOjUKb7n~X^%K?yGaOIzXI&m`H)K2l zimO!9StHXmg4|iElg&!)8{78%}MTcoqf6Y%k04yHJ}N5XUG#$+kK1?QM#&x1q=)lgAcR zuS^Wq*LYD!w#}UPxF}~SJKA49&)LZ=qoKb**eo|i&RU4>3bC@=Prjp{FC~l9Qz_KO z^_lODX7S|FXvYoQZXkT!I?|*{xiX+uU>e)>&RQi^cWz4ZXrZb`ue3jCDoMMek+(qg zgKk^ntjptj_h=xjyY|gKv0D{7>W@R!KUw6%gm0NejNK`84*ysv-{_#~T7(l>q^i5@ zxsxk%qH;#;boo4^q?yDL13ZIomimP}A!ezT zu0|@Q2hD@ammHk&!c?pyv&+i|8Q`7g-#!N861uKD#Qrq#gP8O@)nfvSexFiLDNjOb zSZ|AF+B@vqM8jJ5pdR^=R=vq}+dlTAO}L87f%(nTxY=qqgE>v=%J>k1z)?%dn=cMk zq}$zQJWH6P=Rz4%HfWM(BM%j?jKg;n@Nj;NZu zFUX;3(Qx?2M||`X`MP3DtdkwRY#wNHKhhb))b(?x>f2sU?c0vUi({9|KN|WJ_))!X z>VBcT$yesYa>=z);48Jnf<&vJjZuMzepJjeuQwUm+dLT(3}q?qKT>7+Z&CUs>ga?!@49wpvtUr|-6@fyD3&)}y(==Ja!u zZHGPARf_9g{gC{#hdKeS8<-{YM!SzLyAq}zIu-K9?~w+1EL?`m>-XQus#fooT@ZWw z>{+o$4?X!TbauU%@}7(1gWM-7H2Dz=_4PTv)S;hJ=NTF(;8B#~H__%xe~6?O+Nv{> z**&D@(I$b=it2|n@XpnCZ}Qd1afneh(JY-Sbp=8qpwJuQYG25ncP zb>p-{mG0YLd7ed0#A9UY7XQJ=u!a305k^PYgZ6QwZibAL*czA-ubaR9gNoqpS8}6c z9qONs;K^sitkUZ5qAE)noAxCj^FP=nJ+jCs?|0pwC)l$~p!RGdpL?A+P@iOdF}a`v zDnsJk(EpCXP3lO}_I@>QbdGueOP(05M4v#+z z1^v|qYmfj)03-ks011EuKms5EkN`*kBmfcs34jDZ0w4j907w8N01^NRfCNASAOVm7 zNB|@N5&#K+1V92H0gwPl03-ks`0qeK7Lf?@{G1fA_7VaKfk2_i8V>bBjU5eYkLZU( zV9?KaC>_v-Kw!}dNC6rQ*@U2B-bb{L&WSOp5H%3517UcFh=;7QIP$R?sN{pE*&vj6t`rAO;U?FZwp z=Y0i2lDHAJG2K9A6hg2OJ-={@d~W+Ary^n#3F#4=wrExR5R2`2HGa z&%cbb8=(NkiLC!NP6tFkFwV(euLIBq#`(*i^jA%C9odhT3T!(#Rk`y<7G zk#7V0#ehYRW!$(wJr}r7(G--RfCk~~wCmEn-{z0MVjxt0avs1;Qm8}rrI6SXT~4(l&E;PgYz#sH?h$H>nxkH93kwUD%Qn`k~WCZ|4;;QP`DtxUhu!<65DxzMEC| zVrDQ%)1*}mf+3_sBPU;_qoN*m*v|D{>3ZU2J;g*d9&qR0reMa6s++OVN7g|Mt0y)T z*%&-(I9wa8eXH&n-p)=53BDxh#^hc-FE2yCsAzvJno*a;;wkr-#Ob=CY#AoM#@CQb zc!2pCI<&Hn&x+=r620HfaO*ljvTh@LzpBO{_mSwn1OMrx3#&QuL-!&~wngwNZA$_Q z^d20O;I@75QKJdXxw`Q-sG#L*M#>~4ujI4u(wWs$c2$k%CU1CN+~pRt2skvLQ6Z@( zQ$IG{67IBqqzvU3Cg;$q;=ZJdv%@4VlnXP5g_N67U&NHPA@UlNq_CO^?A~pzonEo( zKRcL;I7z6^x+9G>_|}ZJsnx(Sdd&S=iQUEe-8a&hUZFbWnVTW>P=$7?*Ac;#DQn6S ziQKyZxr-goJ>N5{J*SD$GLYRG`RM*spW_w%{Br&Ar>v;MOnQsVEYkZMLYc`ja$$63 ziIqx=>gRst0$=(~4l*L`yck$_2oseVsdZ13#(iHku132R46r`8$EFgxMz6+URHtQ* zx5aVRw)XM`v4!B-Y+vK?^>8Kb(N94?95X&%jj)X&dp$7Q|9LI+l1p6OR04yLu=Zf0 zz7*d*uFT5%NBXi?#vI@BRA!lt#6-MSZ0HupkqFVidAJh&aQ}J@jP@sc##3~Run&Z+ z%iJQArmAcuEsd~iVQwx0Nz&YQ=#nM^dh;Ay-r63EvL2V|hPAAQGX%8HY>J9yzp@$; z`+oE7nYH4vTBdCGz9c-&T0VWM%^zH2wc4LJ!`~#=Hc`MQ5tZJVbk*Zh9rui~ zvULVgQqF1o60QcRhl>(yZqq-G&~7WSN$UwkWAMhBnb4hAC2=n=X}cL4xg4rn*i}Dn z_Uv!5RCYI_zizYA>GFz%S=N+1zQD0Y@;RuebM~|2aO9WMMvG6)N z+BDbNPwV~i4nIM#iSJ?^s66H|A&6zU;T(0=(lDPv6E!FD~hOuneGaSFo_D=GTmjm8;B@`>=30OlZ04c zAd~QK3zLW-6KbKis4$bL6_c04z1AKr4Kms5EkN`*kBmfcs34jDZ0w4j907w8N01^NRfCNAS zAOVm7NB|@N5&#K+1V92H0gwPl;J+*ZFl92BG8s5I|4*e%mP*c$31t=Ap;?w>{6N%h)thX?_c>=)|GJB9I&b&Ll3}w?vNFR_X5@qE6c(M3S!ezIF zf)yoh-}UzJq5CY#TNmsojK7@O4w?9R*%w?dz80Xr#-i4kVa#(#)3745{$5{g^Lx!1 zuj4ZJ75#{+H8e{Tya$yQb4RC-<~}K2W6O^h77}|SCQ!9JBfM1o`fS8)nh!J6uints zpf#;fCboe&h1Y*Wf%!g6^q!Qqw)Z9WmUd0GI{X{?b+|7=?Tl;f9gTRqc&ic)MKV6N zuru7ZRA@|4lll}zrF$o35sUodSmD=slW+VO6Uz7d()$S2^Gc)@u8Ud)5eI>yxc8% zBMv8+IWwyz_6V7=lOAJ9J9derjRyAJ)202{K$%|Q>I;-_(;Smm)Jomyt7qs0qvv6+ z*K)$%uig*%xHxXXQ;Hkt#n{ZI?o<-s^~wjX$z0`O)p$H@ut-sVYMZ;MEWlir6y`aL z$*kM))pkP0el)xrqHNPUEnSmc`iqg2TjH+@HHQeWFJ1Ab&;ayGY1_55Jk*t)3HtNP1# zZcfbcNZW;yOF0}}#uzKZI(;V*7YV&~cfYUkQ(k8mZhfSin^&OnVarExc7(`@WpxUD z`2L26n?CU@26?o>x*C6N(>>AyoJUteL)=Z0JWo;}2V=_}xws!-zP{n#`}2S7^}S9r zR-3&V((%SH>ob2}>s12Mie_uuJ>2Zl1~u0Abx8`&3}#}lIcBnP-&loV7sZThi(L;i z&njDy4wqPk{VX&Y&zLNRSwE)GqLj&N;N})*rRx;wk{NzM^=<8219x-hy8qTx0i)-W zxV>v*t!0Mz1Hx0hgeQ??r^ZI*7dK@@qHnCe%b)gWOnXR;hE4fu!%SdF9p@ogWqw_x ztW4BlB<%9Bm+@{TVZysHoD1RbZ?~$kn?!KdSUSSa-pK{B4)x28lN%9aHZ=4Bg@j;1fx6qgzK>P_PamcOia)n zm~s9zDBC%=HD0E19$^7uwB7kzrH+WFn->dFX)h2}{OL&oUU zBsM{(;pKq=Ybi>Y|1eMBvJW-yyTDwis-+@_*QCXuR( zuUPl->`(D`k$Do<1P%yjR>UcWO*D}WdeglWBu?LnntYxjnBhf-)!sYH?^#l-_~x13 zO>+rv@piVm{s7L0>FX;8LyWUjQvr5uM~E zy>l-a`plV^mi%-kF2%sH81KzbDgK&CD}&{tmp@475l-7qNo+)GWYIxz9ifWsgYXkCD&`QZd-SAw7O(hVtuEI<4AcGUwrOE zEN$grTv$?kO^s{5i;_CtKlaP0?xvpAJ4cP8va;_L#2GS1N2O0{>Yu9F4UQ^BP3tAU zTdwJ<6bhWid`kQ?5@MvcQLSCtXm8)NzsAOR>!740^`!A} z!JP{`UV;C*5LF6suLKsNRwC-ZFGRiV?B)b4=(KgVbwMudwDP(Q)UGa&+rUE9zZRwn ziK7;#I^F)AidYcPK`g}tfrXxmSM;v{Z9$0s6*NTsKNgBYyn#4>^Aq~zC-iqep?~!g z{=-lBm!B};r=_i+1+*2FAX-5D+b)*Kg|ewq63qxUa62C@x@VxV%wqG1&N(uE=SLxgdL2n_f=KnM^SzhlCYLRd5e z8|bI~otgcY9r~Bw`QQA2%;0}v^g#Oma|ZIxf5|}p9ia!ti>&{af&3wAJijxL18rbG zzx+vm)g*|tzlg_wJ_C8m=9cV$d@ONjGcE1Hpm&CU} z&m6Zp$Hw1CvQ{{`!+$K`q2|0}kqY&^nV|GFh)RqTOG?Lio$+v?OY5A6&w>i1MXSe|A0pQxufH63cAF^9{kxlO_5lR?)?{sYx}SQCl=K-@;ql z_Wc)M%seRc3zMKqkJ9GVk#H1v7G^$3^qm~O=#m&{SaZUnVxF5ugM~{^+LY0Dz~!vi z8nRW7s}r@(x3a5ak?Pc@@nqP)c_en{3&Yi6`;m=zd{3;W146&aNM$nIDQOrjCR)P8 z#+PT4-R*<6ia~zFnfFF~#oy_8XLNI=!rWla#VoYhsE;FmHr{u zpKG49U9B!&W}atR@bG%jJ@?S4RJC0XulFM!{#mfzXgf{LGxM!i z{O3vRD)q5cN0&IRpg;WS7ac^svP3? zj}3i_kEkIR#3zAS7p$aIAo>ALfEnP?0s|J?Vf`K-(*AG8=kO2l z{xjpkLW~RP{VDIUUQ@QOhm3j~f61&Vud|D>>2<#|rLH8;KjiFw2 z*k2zlNHz25cq(X&@Sf@Qa4cigeu&>uJ{RkTr!uX=uZ9#4Yq z+>5>+P2Xfc%*Li&J{NhvA0|jcSHOSc?9}cx0h`=wh<&ri>k5}-u9EXGd0 z%08d1gYYP?rst$Y?;oE}kcW6s3#>REg}NLz`p_-6#JlA`Vc*3(d0lF3VDxFP<%`tZ zTe5rGPuTiRaIz(DojwS9Ytz|}p~{Wp4>7#^O>OO^=D?w?cpSScM^hG-sITcW;X}u? zTpB9e2binsWtU!bvgkXZxoUm#D|`Nw`&~lnoy%uVWyG&JFzLU;1D;yoN?JtojF{_; zHlaz^d}U1O`W#I$u$fzf={}xvlCbq`c3Y%zh}yX!0aIXrOTfj`KFitLH$lpm@w+e1 z>SZ(LZYMfFvL@c*YoVID;q%6obvE`_xhhKc(>~W}*=Ya^15x&wKRg!V7g~iG- z#W=zrR(O@6jZ@iv1kmknipu$>dgB^O|F-zwRv>hLm-8T%4|XUWU)uHB_Tk*=w>ar^T4MfZwhwNrt+`U< z6_B6Yb8>ua>H*8lB~n_d>lmB9J&4FudF{*cyDjmO%g z;88<;@X%Zc`@AzcJb9U=V(4H&d9(~l|0N*PmFg#Zq&}9jp=stw&C)01<3;P_4T8h3 zxxZO}iK&yRv?>%5TSyg={JNgkdib z?(yIreLh(8$G&Z5+6w`~FDweAY^{VN{TL{QuY)}O3iswV z#qKFHD|(UNd56i5hQZza{tSozZ2D%}%*rM9u87bDu9p+xvg5_iS+sOVut=(?VoNO+ z3a^seJkw(L(bjY)(H$>X;r`TMJwB z-agCj+cU0w7CTW}w$glbHy!iDOH%O@;(dtCjEL8|gK7t)je5L|VzeKz*0sN)fhaE;y6YW^+V&>A%vHXIEz~qSdYTG5tG=P^Vnkej~Z3 zZUrN%A@>-?ZgD1DW#uyHQevq&9gUwWgAUZ)!NjaGweniQG zzJOJ(z#>l{3iRIG_zY2AX(^ z<|Q&e0tVng_0FyDo7w#aR0}LY0w4j907w8N01^NRfCNASAOVm7NB|@N5&#K+1V92H z0gwPl03-ks011EuKms5EkN`*kBmfcs34jDZ0w4j907w8N01^NRfCT=F5dhPK11|^~ z^nX{Ha8+5GK%X6D?*0bYbrNZhhhn}iK0r=JF+1hcKV_r2_GWw6w+)i|l)sk6@ z%4NG%pTC~0iRoOLC=xtK4Oa_q57EI~sm_Xvi7lQhjSydlxW-G^;(9fBalh9gaMd6} zi)ClozgV1qV!0t39hI1r|7A5`y;iVMpceDmz@@e}tNx0OlJ@zS_ly)|T6Ji77nN!9 zMdK;HuUyOtHbCcpk|X?$++UZ!CR^kwXC);~LEIy@`|lR~nJ{=T99wm^oJyWB9_y37 zc6-XKaWxaS%U0|{!iRKcKW5{Pmf^!#*9y6AZso0pFmL)&_hcpX9`hNK6?aCw5m2lP zmFZ>e*IRq`^k~gy>xV-1(?_nEO{AZZ#T4_@#wuCDc= z3X`UG|H)?dMpXjsnS8iBT>*CNgp<sWF^<>e-UBD?y|rdx-!l22<-Q%e2OIU|26NuQCgHD1Vg;cjUF{&(*+WO_ve{ zfp2o2iW}imV@082DxXAW4F!lDC)YeArIlshWkGEFdPuHr9(%`I>jbN%u+ryJT3n}H z%E=PcBarX$-D@P(@5N%pHuQVA7YpD`j9D!lu4UlwlQicI z&s`T*8F)ho=g(cT+wCGfBre2Xo~V0&_)Kh9$knE@?HNA$&G64kSztWO@?@6_d=xvdGt7T&3)AQ41 zLyH;i(Z1?z>H0Cv%638r`? zu){Ou{2AVR=`$AdDIK|+)n3@13Vc5-I_ije=+xwp%)F63UMtUF@Mw|0wxFN$30v4> z_mss)6UD_oU|+;D}!z|FQuJ zd1MO>WrN}%T0j71WC_PZY$ATdiU(sI0R{s6Cib@yFrk!)KaT-{69Wa}`EQhf38h5*p$!O} z^tTc?08~sUYMg)xr9}Jz5D1*?pOt_Kr38)*PVrm$$2b8KN{J7MD1R&eICj8H(zQ{z0o{w5XM+ekA0O4S8_Vs>4;t7BYR{ihooeRY ztC+F4&$EB2kWCz5#6IrGlcEh#lxFti&)a zL>qs+U|!vm%sI4+G9`!)HkVX?VDb2A@3!lTgzDt%NV}Be;-L4(EB{j^m!ZU0p(SaW zIno!q5!oi0HlpvS|H&b)O+oZWU)rmp_N$p=-&hJ&fY%*(3E?z|0(c-%A-8~&2Y9ie zz{v$XP(xQlIRIqgR>*z`1UQd?NWjn3ArRm-K*K(wMP4oim-u|L{19b!x!3bM?^=e* z_MUF|L^&T{d24Zbc>}A`2NLLs_qj!Mj$uP)9ll`(DQwM~#l{JNt}|28UmK^L#ZMjT z8CAIgSBi#^cf?=C78F9eLh^<~)SK$+B=-xNu@UhcYY42$j~la97M6X?ogWt`zSh<3 zkBwGN2oE`~$|WSLM&}puMFU3ZAXV%Mji~0nfL8iirY0-v%Zb9fi?e7=Q5a7eBT5yj z2=JOP!`rrLfy)p3Md}=)g2Xa2A16TIEEqk@&mwuy5}B}wu$n>{h44Egu=z6;ddM*G z;KFTVM8J9HLO<6I_*_=zI$p(n#r>*hAn|)D+7AqzY}cj(vS56#2yCn**1Kc@-0+aX zF-O41wp<(OL#4@;VeIyp8(uN6cIml$$08rvb!FTKHlMG$KF5EvfoHu#A^kz_1dkZ) z_!0h0Y~y-B8~#ZgUilKm$L&RN3z28%4(DiTE(F`lt1(}OiHB>jZYb+NF=P)zHS}Ea-KY6KYo;waJu#`_f0LPC9vN&=QrvUiy@Q^MR%K9aZ!+N_ zD73a?UdnVDwX$?+kvFP2X3`Lg_}a@iR@fS^nQ<4f{5l&(z5DtoOpn63Dr%`s9X0cw z`_aE4znrqh-GMV4D4?{60ywu>fEEM>G=a;J z8#!CR>3=nOks8!&3PsMrFu(*jV$lM6IKw|H0TW6IOiOUae^vq}loB~ZGySs?Frk#d zqzGsJXC+`lDUowI%Regt6H1Ak%P;)15-_2Z$T@=bpOt_Kr9{s9Z2znTOeiIC)@T1` zC164+k+VL>KPv$fN(s#RaL#{L0w$Cac?0G8XC+`lDUml&p5IE~Rw$0Rnt;0~aG}5e z6QIPSMf{N}2%Ha5051VA1h^Icb#Fu}0TW6I-1}fa6F8~xXvzO-0_OuBE%H{#kJtp7 z|K^+oOsF`(Tnhu5s5n%>mN23Ku676(u$RB~i5vi60ya3YP$J|kE`sPl>49PVH7KMW zFroA)5pb7)i~iOFd;e=JNIhUe=}{u&Wrlh;gs@=IA&S55H=tPYN zxT5~Lqa*cz38hDgfC~uNIhUe=}{sUKre$R0QkI+3y5+K@dV!a05Z57vVkbD{@68O0;UB{EMN-?L0(H& zkei4C>yKRnCe*G`BIFgN@LT^!e87Z?j}oyX+Hl3+`aj|WCRBWs2zhlW|JMHzA27iY zPfje92ziaE{MP>wA26ZfqeRFnP3^b-kNAKIHGY(c8_|Ye{jL8aK43z{M~RTvr^avn zAMpVbDn3fYi)h0&f9wB<513H#Q6k_xf@}TO{}CTBq2i-N$n!}1xBid#fC&{JB@#fi z;kv)|f5Zn&sQ4(6AfN{(mwz~Q0TU`dN+bm64SwtYh!2=h@lhgRet;YP*8eenz=VpA z5&`oA-1xWtkNAKI6(1!6<_EaRZ~Y(f0TU`dN(9UgaI@d~e~phcVI$1E5OG9Vh}aeI z0St(MGyxmD5D7%ti8zb@gX!OU!GL`cAfB99sJ&i9Y{ShF#XkTCOsD~)M3R6W$hd^k z|1n^|^zV0#^g<0-3L*ON_W}R27Ys0=28`M(FvY=vR7(FaV8DbLFiHeWamaV{|1e-H zgdMo|{Tsr733V(0;a7hj@c(Kr7!VM+Cvai`TTlov9m8)THxb336DeRq9VSY28PMDO z*8lOk0H%L9Ou&RXOyG5C{`+D6ul9lg0a1quY(XKih!Sp#+(Z#bh1FOeYlIP@lt7H)VMmwWBGA%1<{~PdZnfkqZN$Fwn>R3Y-bGv z5{1pgvNEBtF{wAbLYp?#Wk%4ej|lC^a5eTi;xzkXzBx7YYAi<1RLly)#Vm+~$($Myp5Gdi_eQnoZ~fc%_PCOgxhg;^CL%t5uYQ=L_O`y&#hE{>!0UPo+Q=gxxZ{rCvEoQcn3;qE%KFENzUa$ z1!214{cjhvG!8m_(ZRq zwRkbA;1ZX;`_&htlxF;C@&Rvd5zmXEacxMaOyLZvR=Z{|#8S_shw$3dBr^(CGQ3-zG%a^;kPy0L3C_DEA46=H7q0a%g+xl7#%64>Yft7 zKlTir@B=N*O5-IFR?IuyG!Bi#|~ZBkrvU}hK?d?mU^B@wRg)EI?BFE zB9}@hs71tBAnv7CTJYHeAeY|Sb24f%Kywykw8JfUA8tpI7GUG{psgf8;e`C-u)NTC zNnjwt5dy)$j#g<{8aO!pNFq5XCE;Qbe3mpGC1?&fCD@4<(J3CCrxOlvz{&!xCIBCv z2QS?KA95IrN`3c3dM}Q}2@Ku`d~eobs!w)T4Y1QYF_&&FCX(oUgz4ElQwDtA`?tmd zJ`3|~7Ij8GbI#mU%2<8=f*;5O^u8T==VRM^#iriJa3rzdgyn`kPy>AQAIT*HJ~RPr zEvm+kNMpF6YB+k21O@Rz`q0tum|{Pb#f2QCrjh0d!OUsxY5^ZJpGsfA2j~K$41Uu!6O{2EfN4&Jgi>Lm&ZxY(o}B8)S3b?hQn` zaf0jt3;hnHk| zh4>QrViBw((WvOSnjO7)@;U_@T@q^FU8_g8vOgD-BQ9q_qB&QkZ~!?JT2$I=%%sXe~J)wvw?N`2pe2a_GAL33z3ppPdi!>MD@ z?%#g%7+vNSZ-{)iFn;|jzBTpELaPRt00B$d95XXMUnGB0BcmPDGIpdo>=_eV2DW+v zVMsLGW{_Mp4t6YMi}w2S*GjD3aDkQU;vHm`?|CxHslRGd5HKDtnwNHAh0k$fapq@T zlZ8JFNbA7PXhVAr#aDQIRkGp=`e+CX2j9UY;Y$f7#XFO&RH?Si`&Sr(lH6~R>7u(e zu-PY{-Xos%Weu{U+SgNjUJDDW~~c z8`aGR%v$#tww-GS9loGHxyNR_f{{sVqRP6RNhNML?<9`+F7DFzX6$)oOamVx`|tSg zKiZ<}b+Bj~)GPUElh!|Dnwh7@tJ4ZPW=4;E$kyRE6-K-_#)_vx_2JOtiNG8@vqT~v zTjMi!Nf}X=e1_%y4g2PJP@SW<+-8eNr+Z0! zoXR@*UO36O)F^i*aJPJj^(N!TQs4yDU(g%i8Jkq3UiJ< zGs$+@KAS{QQG6?4;6Q+$S5)UV0 zzDOjU9to|I!6~MRx?FWJK3*2>el8)C0J@9=TW#K&2=RkLRx~#%mWbla6hiohJA@Ql zx`;AA9p6(}Mas_|y*ZAh`PjIcu)18>cXG6eHpqaf((tF-1;%8dMmGW?{*e@zPjugu z#I8QGjFHUSqolga_ckr)WOp@YM&&TOj)UsB(bYuQeu|c<5bw>gVoFfmJ-nQb=Cx5Fbf0iG93ufg;w~Cix>r>F-Pc1$X5hNv?Z()FWVDTz z_!*wntRh+H(7P=DFR2L$%Wq#uNoUHX5N#C3&K17I!oUN|e>qFc!|{MY#@dZjT8xoN zdQE;x%D+}2azkoWqh9%(PUz)8!KRMuV^|A^$_-QX4Cd*n8{LXBMpgi$n*bwgfRWAr zoDoJ4)(O!|RsW>KD)hURER&Qh-h}kM{Fx2R6GZfAUv|*HjZ=!UA8QDq z0Lh8JT*lXXc~fC_v!QY>!nEwfaa|B|c<>`1aUpxjzG?=WuMaR=FITITb}9e(w2? zD-OC{7cJogr^&KA#9l7N!vfj1cF=7*^wg5;srkId*BRfn8Q781D~gPN(p9}RN_h6l z2aBF7GiC_R?=gsTzUxiS_%m?$YdYuTx!~Q%J<YsU!ebH%-`nLW_l4~A&6=l{B)o2u1^w9fAn`}*W0v&& zc9inK$CGyHySSQ1ZHL2iD>TNc_WWD6oo$@4KQB;^Of5!=f9Oe#EZY};LtZK011U7H z+@va?Ee=RdoW2`7FVlB8aYvcQ1dBsKh|-M>%4|d=D$izg#Rm6k6z8ebzLD&#NrGRB zMf|gkI*PpN)G<(yNqPu4ItupfAjy> z_^(%REPePE_way#Txdy_|KqaS3RCzm|RKLH(yHB5<2P1gk(k4lq%)BEl8Oko~WZmL6uAW_sw4F zATPs@9rbG=x9uaP&@Ku+a`G3?;C_ks_>r4>n8ghfqq=K{X_(_;?;r1fc)Tl-H0rLi z+^OsMYKgwD!XiF7_FP0s=$lyAIp3!X3yK9+8^pISLdvo?=NfeI+_0Nz%q?3lC4Nv2 zo)~@DV(u9=77cH=C=2k?NFcGyhq=4ghu?T8caXCzf3C$Y61LkJ%l1L5~^Ac;%8jDhDZv)mdf zSpMgtv4uC}>$ktFC#aXn_9f)#E<6kGQ$10QwRkQMGh8^jed)2AA@r$F8X+guH}*?4 zrfyMT{GU7T78WE+#t>-MidJ0nf8u9M0Z-@sauh@9)l7k|-nS+6E{v7L?p; z`h(U=zURHyzFhHaVjix&{tj2BqQCeI=?kymmuf8JTsq(iDN+;1m|$zOD;vY@Sr@kT@h0|W0>#}88Uqkb=&eun-(?LCPB%Jv&L35>aH&T7)b~WX=0LWBUI3 zeSgdQ{sHfN=9%Lx_nvd^y|bL>T=!&krAaBpV&&B{*T(w=8*gZhAMa1x%pcf#N?lT{ z9M<$L_2}n1*$L}Ci1^y4lPt4S+@4wiy*o?D&b5bG*Y#Rs<+2hK+9^F*ic_CtcycEh z>`p6wYhp~RKWK*)QaRA0NhYVQ7`LMpww`9ry>gUjwH#~MDs3MLVq!*{albl3J$2>E zCqF%^6r1b&cT9V%`tw+m!|vOS-q=5I>7$F^l#6{i@4slkNR}I6^GLANmeUh43N;J! zk84SNqrAZ*ldkb5vUWss?&~|szVsf?9D6&*7r_-p-z~$3BW7A)`8#xLQwndp`Y3sZ zRqx=JN3hG+zw{h^P8Kk0qNSx{u20+Yw7=vmnSGD&T5D6?kpc9jBkKEwR`@B?g#C&u zr_l-SCs8vX}l|bo}TnFM^j9~2{{_1gA3!wdv^3BeGOA%n<+bI&cF>wH#Hsc zh8ebZbvV&d$1oBiYQ|y5=3p)>_s91e`X55@)BK2_i;Dtbf{s~1BM}bXLLp@Xa?-Fl z?o@Xx%7P>HqJ`!M79=V8@$5?%JZjV~CFDjQEI4M4-aG%=GMg2HJ{fC+DGJ4a@hM+GfaW$lSi7}$B9d1|Mh1l?se=6H9-P9=eom%zQWt;)^plLlKgUDF zEUCBKhZ2Q9F*z|${A?RJuSfrhH&38(40EXt!_-=`QdRJ^&$jhNM5?IOskhVjkDcz@ z`T6upkOH4ewmel?zQMBi1&86{eEwe7vSq$7FXh}8>do6%j?elh#@AZ>FgB!mb-@Wn zzWTg@9FO7f>7G#<5)2u7z*F~LZE&16ZN~oME3LwrXWgQ5;^|XxDy}|Pp-Rjbk=7=G zb9#T@LJHoS{QtwjVbyLv-tJ_O|34g@Q(P3!85G3nEh$Af%Nu`qkhd6cino;1UrfUJ z-kj1hhfHyRDI33qr8 zrEJGbT()kSOZ&;Lo^;%la2DhZAEnK>5EY;bGtV+UUTNnbg+WOqbl1x)9?MQX_g^JX zn(k0}tTwW(OaxVha+=(GG8GPHAql3E{Z2=Kb{{^#WE&i~pugiPqTPoHO3@nC9ev`lh3I&moNzJXheX*C2Zf)Llq%-0@%HOJv@cA+*(8 zK}Xn5L6;t)Xt2ZVK{O)68rnt+WNI`J=7ChrBZVR)3+&2GJmV~ymrph84vftd;2^0L z@3<)x@<_|{PoX0p9Q#i8;t4jH(qlR4!zRvevpUejuHfUH2UNTGqw9H>@pimgrLRsn z+SS}Z_pG|^cWxdJtLuKy`Rat)d5*5dCFn{D1AfY%%qbG^Bp&qh_Yh1m?lsu=sqLi> zSIe)RYdG9-ngIM-|0@*(?g!xju0z&2077pZ<|ZLC^e^*bI}D0qqf2Wc5Ks`@d_k5- zWP_pC;Shs+M6^K+x=ZAJXBlQL@2XG7DjPQ(97eHsL9LV&fEe(216+q8oFVX648Q_1 zIk;GvS6Wal45AhTSEZ>aFtkqKINhwhU(VWfoAehLVvw!9rlM`)LDlQCRcZO3qE<&)*7&mA=RZR03>o&EF4R!(Ez$oNqSM~A za#9PrlZ~YWrM0Teb!aDDP)Y49`tP38+8z&IDY6#jg|qE?e|d5$1ZD3J+=5?u$b5%3 zc#3B2fpEwd`uSgE)92fvx4IcyUMEG+*uNK_r$t8Cz4SZt1lmT4;D1W}hGtLNA@V+l z_1a@rX`{=w6n>y8O{>#%wCj#2y&4vwJ;%4@?3kj@rSJe-rZ=`2UqtYpNeIe`V~%+%v8+f_H=&YzHJQpz~Q>8HYlqsTgLYw6ru96_QQ zb$n<1RJs?j`OmO{t%7n{Mr?y0^`QrOjPVu)Yc%Cyav@^K<>v;|rZ1rPGZD-q1F1BQ z25D{fP<#_CSDlP%?KS$6<9y*O-O(nT6KX z5sqa#jd#Clr&a30u|K%6*y@0Jk)7#--lh=6o zz7eUiyN(^=!}|OfyAXT&5l535bWWcUs_uBfg?42_@S@aJ|T*q``|i zvnp^T+P{h1%GRYUsR2#wcdC3$OTLa$;AdH(w9IB18_y1c!S5U}J>HJEj_|!3bdm#o zBj{-Zwps|g7Z}{;cOIj22AjTg?wL{#*+wXouK6pxof}CiKk)`JDk0jTh71_6zeJ<)IJOP6g{;8nIVF8Yrk{0=1-OwCQMbrSb5V^tM1^Lb|`UJ3y_-5CQ7U zZZwt-!Rog;oLw%AWSUUM9`6H9928zc((Z4fYW-MZzRU6zJRjcSsfPRQFmXPf_D2XJ zol^tR*E!dznk$7`aspZ9PQS$*XkxQ!&VBtR3H6$=`T5xe?xT)`*@gxpxP(QO*pNpB z#rm4gaD2gO1Sp*Ns=|8;OHz*&I4PcbcTD3w9h=WnINsvw@fEfOd-_m{HmK$>!|MoA z3^mQL%2Ep53yRxb)f)}k@NLkz#!z(#t!@S?aGd3#`K{TaIB2vA!!EzXO=^d)^m!$8 zrZ}#%K5kJn3THv-;R-rQ+O93sU?K~yt?pc(VZIgod|1f39E-fVnf)s_;rImVt~T<< zcCAYr(Qa|CN2%h_`uh6wf>y^G3%khfb3y1us7O<)!|Syma}(j9qcr1O$nwmwH(XN?{neHRwoC&reRBcbyR!d8177TnJzlU_m2 z`0Kq!&rKH{5v=*d6bV|NM`!7!Foh*7xMz*q=n|44=IDJcD^9j4xelzQn#k7nFWGQA zg{+5P)3!-_Jz4K;Cp+eQ3N*gSGf7ltxzqWWfORGju-(UjkwW6QBu1STuieG)i)V7M ztAidEA)21hoIbP zdRNxD#Z?ve$6G`Ut&hb<|1g0S$E2ks@CHwAr8hhz*V*r?iwc9$z8)RucC857Uz*6* z{&ajVdZRgJ(5<&d7q!l~%#o5wf_lhg;>Nf%*`g&Di~Ug9RvvBMEcFsJ(G zJNc^Q#!<1IiK>!L4b40G?&KzQ<74R@WzO&8m>7>_Q5jQvOO z*!SbJAsejU7RNfN3?taL7gvui{<;Q-83sg!qAZc#mx~@rs7M&v7nH*+VR+Lg;b>K~ zy8o>*n34r<@a(J@KdM~mbiO{GJ8v(g&sLwm=VND$oh0cX>ul@r2kJ7V*=vu=_RY4g z)4Y9s!>p@P@nE;wZR@&RSf~F)@v}?g>?^NVKd>&)=>?}vpP8}o6g-&H>m+%iPV`KciDoMsE`g1^YGC= zOm0E_Qe%uh%ZG_$7saF;3#)B(>B)^#6OFvM`83n>y_Ff5&KE>rJ-K-u(rOI2P@+d~ z5Blk9(En0HF>8uW8R^4-H5f0hBB4tWl#TR2;h1HUU`O@tWNSYZM#+?Z6Ua7@JK;E_ zol7Bx75li*i+fpgt2QQ^y{MrsrXZX@Iy$YkA(XAY=t){bj&xK?%#)OR?6-!WHy>2G zM)wb$9FAZwt$g%Kbu6WRCvNUcUDM}BX8BIP^1u7D>DHX>T_9Q9$`&X{_D{W4e&p6O z(}scsfr5Ot+qDO-7=&BH?H}YeDwOqKCy!+tIY-?o3)*toe)U!PJ}F)P66YY^@uEWZ zsTm4pea8;nfx+4czdLWUKE~$J72QgE5;qh)oL-mq{D=8&ZA?LN*ww6$Un@UKw+%Q? zY(+Z6wQKK>Rb6i044!=57ciQ@(GhL`;vUz@j8^IBdkpNQQ|yHmqB4!i(mg{LIhRj| z-mtTCtZ^PrQp0H6Fkj5~^E*Eie5Vy*?`YiK=EcQ*f;DbH2|`bLs&vj;j1&*=3WEuX zPL^L6lk|1Cy%5Ske#!LdXbu;jk>H)?4vehfeaRobzV6gN#lGI))l--Y%D64l?19#Z zd!kEWV3p zwW}^}q(y)(cL(LY9Va*CjETcy-xxA zB<$~I+_h)iE&G^GDIA}Zt&!30Q%dN-S@Z8PT?>ctncY0fDZ8B!k%{8X(>QO5AFGCbT~SB`^R!fldbfOHU5K07}q8X%FR<=MVM$ zC4Y#+#Rz<$B<^7tMMB~a=mAUG&I51}4d{3-$tx4~&5S z8+#A=5J)t!|9{CM0s>&Rj+otoRRcIU9>3e&BDOn>cK@+He%sXwmd1sU!W02HupNSE z7XkjUP96h%V4R?1)Q55)4*IW3P((sXKp`X)*8v<@4;0`&Ij}z_pubk2 z1Ja3l5k$xc2G+nh{A-pJpo0Dp?fn=3Vu|hklYcM&<{vZBKLY&2KQK)G(?3Gk{D1Hd zN%W5Z|L~8f7eR!KguQQoe?&hBR{yy^w*Iz0P((YyQ32Nn0sk-S;|_5g{!{-ge;WrD zV*dpA$2b%c$6=H>&ma!YGqF8_2pPe9B;b7gJ*&zFl7aWrPe317dU+kx3zS|~wEA8k z!Xkt7+bE#P5AhMVfCw|o7t23WJXmhmjg!IlxjxbqR4vk?iK+j@J1J63e$^WN0i8B~ zk7rg;w{ob;e)&>|%)!C44|Lp?8l(!LoZ$v#^nqf=>%8N3L9?7vpe?g$4gP5AE?)QLlnQ= zGiTq`X{%>l&z#T*_+~?2Li%!QM^5DidlawwgY?_862)N=Nu}AM0*WJ7t;C`av>%kV zyOi@?Q5~SOtP5Q4sR%jH8Bcyodp7~~#oOa4U#x0KeQ3s_!FT~(c`F7QQlIXsSE&{B zT!d>B@)5E7#q($JL|m^G3t1=mgg>Ts~s`jWc*%vx?M7uo?izS*0CWwv=FFx_k(r|Zw0(5 zS#BO(nuo#%jaK_liR(`tNxPh4N}p@{;!XeCyjJFDtg_xNCQ^Iov_RLndLgHzbFnr8 zq$-HmSE_uL-e;IM?mMt7v@sSn2Fgn4r@8MuL`Ho(l9aI@a6ah-2c)kfS>3WoURy^- zNM4Kj%&a~o#PSq-{q(c#`S`fNp02amk)0;=W|i$So?~R%=3WM)&Az9~D3**NZ10C3 zCm@QhlPorkI@*c(Ol8>F{gg*hM+5-%|_wS+qwD@jhtx zpJSG;V%NV@ltlC0;GI(@l~}$RNLm>LcAP z^sf9p3&jPz`@t*}c+2$961CnyoC9WMtnoI$=Paz+%Gt*Pgx=N=3sL~$oSg``yQdot zq~pE32yy_O0KL2&TtK=7AOJ!fAOJ!L0HFZ+K_bH$Bn|*k&LE%= zEFlZS83blbL~IFJ7AXlJ%Od(0hf_?LQw+?%0HU1YR-6)IoDw*Kpfs3{0iM86Lcl`^ fz_f{=>NqmBo*#J4w<{O}Ip8C;3mrF2n=JA_@A~Wy literal 0 HcmV?d00001