diff --git a/VLSI24/submitted_notebooks/FreqSynth/FreqSynth.ipynb b/VLSI24/submitted_notebooks/FreqSynth/FreqSynth.ipynb new file mode 100644 index 00000000..7526024f --- /dev/null +++ b/VLSI24/submitted_notebooks/FreqSynth/FreqSynth.ipynb @@ -0,0 +1,2432 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": { + "id": "vPWnv6QeirHc" + }, + "source": [ + "# Frequency Synthesizer with Programmable Sweep\n", + "\n", + "For a better experience, please open in Colab:\n", + "\n", + "\n", + " \"Open\n", + "\n", + "\n", + "```\n", + "Submission for IEEE SSCS Open-Source Ecosystem “Code-a-Chip” Travel Grant Awards at VLSI'24\n", + "Adithya Sunil Edakkadan, April 2024\n", + "SPDX-License-Identifier: Apache-2.0\n", + "```\n", + "\n", + "|Name|Affiliation|IEEE Member|SSCS Member|\n", + "|:--:|:----------:|:----------:|:----------:|\n", + "|Adithya Sunil Edakkadan
Email ID: adithyasunil26@gmail.com|IIIT, Hyderabad |Yes|No|\n", + "\n", + "
\n", + "
\n", + "\n", + "---\n", + "\n", + "
\n", + "
\n", + "\n", + "With the growth of applications such as quantum sensing which have a wide array of applications in material science, mesoscopic physics and life science, there is an increasing requirement for frequency synthesizers with programmable sweep-step size.\n", + "\n", + "### NV Magnetometry\n", + "\n", + "Considering the example of nitrogen vacancy magnetometry, Nitrogen-Vacancy (NV) centre in diamond behaves as an isolated electronic spin system that can be used in quantum sensors [1]. When a vacancy replaces the adjacent carbon pair in a diamond lattice, the nitrogen atom and the vacancy form an NV centre. The NV defect has its ground level in a spin triplet state whose sub-levels are split in energy into a singlet ($m_s=0$) and a doublet of degenerate levels ($m_s=\\pm1$) separated by 2.87 GHz [2]. When an external magnetic field is applied on the NV ground state spin triplet, a Zeeman shift of energy $\\gamma_eB_z$ is induced, where $B_z$ represents the magnetic field component along the NV symmetry axis.\n", + "\n", + "![ODMR](https://drive.google.com/uc?export=view&id=1gAIWnlV9TrA38i8EY8ILpdNn9xnHf7S6)\n", + "\n", + "As shown in figure, optically detected magnetic resonance (ODMR) technique can be used in NV-based sensing to measure static or slow varying $|\\vec{B_z}|$ [1], [2]. In ODMR, NV electron spin transitions are excited by a microwave signal ($f_{RF}$) near 2.87 GHz and diamond is irradiated with a green light, which produces a red light proportional to $|\\vec{B_z}|$ and having photon frequency $\\Delta f_p$, which is detected using a photo-diode [1].\n", + "\n", + "$$\\Delta f_p = f_+ - f_- = 2\\gamma_e|\\vec{B_z}| $$\n", + "\n", + "$\\gamma_e$ is gyromagnetic ratio (28 GHz/T) and $f_+$ and $f_-$ are the transition frequencies from the singlet level to the doublet levels.\n", + "Usually, NV-ODMR is detected with lock-in technique for which $f_{RF}$ is frequency modulated ($f_m$) while using an external source [1]-[4]. The sensitivity of measured $|\\vec{B_z}|$ can be improved with reduced $f_m$, which results into lower $\\Delta f_p$. Moreover, overall power can also be reduced by having on-chip frequency sweep than using the external frequency modulator.\n", + "\n", + "\n", + "### This Work\n", + "\n", + "Towards the goal on minimziing sweep step size and power consumption, in this work, we present - 1) design of a microwave signal generator with a programmable sweep-step size, 2) it's implementation in the open-source SKY130 PDK technology and 3) post-layout simulation results to validate the MWG design.\n", + "\n", + "\n", + "### Architecture overview\n", + "\n", + "![PLL](https://drive.google.com/uc?export=view&id=162hQaotxAB3fMqotJTYGZN8dV3hQnN3e)\n", + "\n", + "\n", + "As shown in figure, a phase-locked loop (PLL) based fractional-N frequency synthesizer has been presented in this work, which contains a crystal oscillator (XO) to generate reference signal ($f_{ref}$), phase/frequency detector (PFD), a charge pump (CP), a loop filter (LPF), a voltage controlled oscillator (VCO) and a programmable divider.\n" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "0ID0EN3ujqsY" + }, + "source": [ + "# Install Dependancies\n", + "\n", + "This project is designed in the `SKY130` process node. The following tools have been used for designing and simulating the circuits:\n", + "1. Ngspice\n", + "1. Magic\n", + "\n", + "The following libraries have been used for processing and visualizing data in the notebook:\n", + "1. Numpy\n", + "1. Pandas\n", + "1. Matplotlib\n", + "1. Gdstk\n", + "1. CairoSVG" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "FYNUSJPOKXCh" + }, + "source": [ + "Installing SKY130, Magic, Gdstk and CairoSVG through `mamba` package manager" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "CY1x1XkajUem", + "outputId": "aaec170f-f2df-4b3f-f828-f0e7d7ffc987" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "Overwriting environment.yml\n" + ] + } + ], + "source": [ + "%%writefile environment.yml\n", + "channels:\n", + " - litex-hub\n", + " - conda-forge\n", + "dependencies:\n", + " - open_pdks.sky130a\n", + " - magic\n", + " - gdstk\n", + " - cairosvg" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "dOkw1lOdiaaI", + "outputId": "7577630c-e4d8-48ea-a6c6-41d11bfb8667" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "Requirement already satisfied: condacolab in /usr/local/lib/python3.10/site-packages (0.1.9)\n", + "\u001b[33mWARNING: Running pip as the 'root' user can result in broken permissions and conflicting behaviour with the system package manager. It is recommended to use a virtual environment instead: https://pip.pypa.io/warnings/venv\u001b[0m\u001b[33m\n", + "\u001b[0m✨🍰✨ Everything looks OK!\n", + "\u001b[?25l\u001b[2K\u001b[0G[+] 0.0s\n", + "\u001b[2K\u001b[1A\u001b[2K\u001b[0G[+] 0.1s\n", + "litex-hub/linux-64 ⣾ \n", + "litex-hub/noarch ⣾ \n", + "conda-forge/linux-64 ⣾ \n", + "conda-forge/noarch ⣾ \u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[0Gconda-forge/linux-64 No change\n", + "[+] 0.2s\n", + "litex-hub/linux-64 ⣾ \n", + "litex-hub/noarch ⣾ \n", + "conda-forge/noarch 1%\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[0G[+] 0.3s\n", + "litex-hub/linux-64 ⣾ \n", + "litex-hub/noarch ⣾ \n", + "conda-forge/noarch 49%\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[0G[+] 0.4s\n", + "litex-hub/linux-64 ⣾ \n", + "litex-hub/noarch ⣾ \n", + "conda-forge/noarch 72%\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[0G[+] 0.5s\n", + "litex-hub/linux-64 ⣾ \n", + "litex-hub/noarch ⣾ \n", + "conda-forge/noarch 95%\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[0G[+] 0.6s\n", + "litex-hub/linux-64 ⣾ \n", + "litex-hub/noarch ⣾ \n", + "conda-forge/noarch 100%\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[0Gconda-forge/noarch \n", + "[+] 0.7s\n", + "litex-hub/linux-64 ⣾ \n", + "litex-hub/noarch ⣾ \u001b[2K\u001b[1A\u001b[2K\u001b[1A\u001b[2K\u001b[0Glitex-hub/linux-64 No change\n", + "litex-hub/noarch No change\n", + "\u001b[?25h\n", + "\n", + "Looking for: ['open_pdks.sky130a', 'magic', 'gdstk', 'cairosvg']\n", + "\n", + "\n", + "\n", + " Pinned packages:\n", + "\n", + " - python 3.10.*\n", + " - python_abi 3.10.* *cp310*\n", + " - cuda-version 12.*\n", + "\n", + "\n", + "Transaction\n", + "\n", + " Prefix: /usr/local\n", + "\n", + " All requested packages already installed\n", + "\n", + "\u001b[?25l\u001b[2K\u001b[0G\u001b[?25hPreparing transaction: - \b\bdone\n", + "Verifying transaction: | \b\bdone\n", + "Executing transaction: - \b\bdone\n", + "#\n", + "# To activate this environment, use\n", + "#\n", + "# $ conda activate base\n", + "#\n", + "# To deactivate an active environment, use\n", + "#\n", + "# $ conda deactivate\n", + "\n" + ] + } + ], + "source": [ + "import os\n", + "\n", + "CONDA_PREFIX = os.environ.get('CONDA_PREFIX', None)\n", + "if not CONDA_PREFIX:\n", + " !python -m pip install condacolab\n", + " import condacolab\n", + " condacolab.install()\n", + "\n", + "!mamba env update -n base -f environment.yml" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "n6OXIkWjLVV6" + }, + "source": [ + "Insalling Ngspice using `apt-get` package manager" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "FSb6j8pzK9e-", + "outputId": "9835d593-cddf-45f4-a7dd-376dfa89915d" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "Reading package lists... Done\n", + "Building dependency tree... Done\n", + "Reading state information... Done\n", + "ngspice is already the newest version (36+ds-1).\n", + "0 upgraded, 0 newly installed, 0 to remove and 45 not upgraded.\n" + ] + } + ], + "source": [ + "!sudo apt-get install ngspice" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "eTHeARTjLd42" + }, + "source": [ + "Importing required libraries" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "eIF-O1YGj3HF" + }, + "outputs": [], + "source": [ + "import os\n", + "import pandas as pd\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt\n", + "import gdstk\n", + "import cairosvg\n", + "from IPython.display import Image,clear_output\n", + "\n", + "CONDA_PREFIX = os.environ.get('CONDA_PREFIX', None)\n", + "if not CONDA_PREFIX:\n", + " import condacolab\n", + " CONDA_PREFIX = condacolab.PREFIX" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "e0By5XD3LlzO" + }, + "source": [ + "Cloning the repository containing the custom layouts" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "Jyzv_kSjnXLR", + "outputId": "e11a9e5f-2d10-405c-b6c6-e19454ba7743" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "Cloning into '2.87GHz-MWG-SKY130'...\n", + "remote: Enumerating objects: 134, done.\u001b[K\n", + "remote: Counting objects: 100% (134/134), done.\u001b[K\n", + "remote: Compressing objects: 100% (103/103), done.\u001b[K\n", + "remote: Total 134 (delta 53), reused 106 (delta 30), pack-reused 0\u001b[K\n", + "Receiving objects: 100% (134/134), 1.60 MiB | 5.65 MiB/s, done.\n", + "Resolving deltas: 100% (53/53), done.\n" + ] + } + ], + "source": [ + "!rm -rf sim gds images 2.87GHz-MWG-SKY130\n", + "!mkdir sim gds images\n", + "!git clone https://github.com/adithyasunil26/2.87GHz-MWG-SKY130.git" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "VcjEtvlmpcSO" + }, + "source": [ + "## Functions for reading and plotting output data from simulations" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "y6xumEVEN-Hk" + }, + "source": [ + "These functions allow for reading data exporting from ngspice and plotting them." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "NglsQrgObit7" + }, + "outputs": [], + "source": [ + "def read_op_file(filename, columns):\n", + " with open(filename, \"r\") as f:\n", + " op = f.read().split('\\n')\n", + " op_filt = []\n", + "\n", + " for i in op[2:-1]:\n", + " # print(i)\n", + " if i[0] not in ['-','\\x0c','I']:\n", + " op_filt.append(i.split('\\t')[:-1])\n", + " return pd.DataFrame(op_filt,columns=['s','t']+columns)\n", + "\n", + "def plot_from_op(df):\n", + " for i in df.columns[2:]:\n", + " j = [abs(float(k)) for k in df[i]]\n", + " plt.plot(j, label=i)\n", + " plt.legend()\n", + " plt.ylabel(\"Voltage (V)\")\n", + " plt.show()\n", + " return" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "ETjfuCf_3Csh" + }, + "source": [ + "## Function for adding signal generation, simulation and control statements to generated `spice` netlists" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "XUbprxFiOeM-" + }, + "source": [ + "This function allows for generation of spice netlists for simulation by adding the given signals, simulation commands and control commands to the extracted netlist from magic." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "yeq4nhvPkS0E" + }, + "outputs": [], + "source": [ + "def mod_extracted_net(path, signals, sim, control):\n", + "\n", + " with open(path, \"r\") as f:\n", + " op = f.read()\n", + "\n", + " signals = signals+'\\n'\n", + " sim = sim+'\\n'\n", + " control = control+'\\n'\n", + "\n", + " dec = f\"\"\"* Simulation\n", + "\n", + ".lib \"{CONDA_PREFIX}/share/pdk/sky130A/libs.tech/ngspice/sky130.lib.spice\" tt\n", + "\n", + ".param SUPPLY = 1.8\n", + ".global vdd gnd\n", + "\n", + "Vdd vdd gnd 'SUPPLY'\n", + "{signals}\n", + "\"\"\"\n", + "\n", + " commands = f\"\"\"\n", + "*Simulation Command\n", + "{sim}\n", + "\n", + "* ngspice control statements\n", + ".control\n", + "run\n", + "\n", + "{control}\n", + "\n", + ".endc\n", + ".end\n", + "\"\"\"\n", + "\n", + " op = '\\n'.join(op.split('\\n')[2:-3]).split('.subckt')\n", + "\n", + " with open(path.split('.')[0]+'_sim.spice', \"w\") as f:\n", + " f.write(dec+'\\n'+'.subckt'.join(op[:-1])+'\\n'.join(op[-1].split('\\n')[1:])+'\\n'+commands)\n", + "\n", + " return" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "GECMyZVK3q4O" + }, + "source": [ + "## Function for reading `meas` outputs from ngspice log" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "QwyU-DEdOscG" + }, + "source": [ + "This function is used for reading the output of the `meas` command from the ngspice simulation output." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "oe-tW8yi3qHu" + }, + "outputs": [], + "source": [ + "def read_meas_from_spice_out(path):\n", + " with open(path, \"r\") as f:\n", + " op = f.read()\n", + " op = op.split('Measurements for Transient Analysis')[1].split('\\n')\n", + " op = [i for i in op if i!=''][:-1]\n", + " meas_out = []\n", + " for i in op:\n", + " meas_out.append(i.split('=')[1].split(' ')[-2])\n", + " return meas_out" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "-M3GVjdrpYNF" + }, + "source": [ + "# Sample Inverter simulation\n", + "\n", + "In order to test the idea of running `spice` netlists through the notebook and parsing and plotting the outputs, a simple inverter netlist has been created and simulated." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "fqf6_5mHPDSl" + }, + "source": [ + "Creating spice netlist for a an inverter circuit" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "Bc1kdGnybclH", + "outputId": "cf72171b-2309-46d5-b2b9-cbc3c8fa8f6b" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Writing sim/inv.spice\n" + ] + } + ], + "source": [ + "%%writefile sim/inv.spice\n", + "* Transient simulation\n", + "\n", + ".lib \"/usr/local/share/pdk/sky130A/libs.tech/ngspice/sky130.lib.spice\" tt\n", + "\n", + ".param SUPPLY = 1.8\n", + ".global vdd gnd\n", + "\n", + "Vdd vdd gnd 'SUPPLY'\n", + "va a gnd pulse 1.8 0 0ns 10ps 10ps 10ns 20ns\n", + "\n", + "X1 out a gnd gnd sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u\n", + "X2 out a vdd vdd sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.8e+06u l=150000u\n", + "\n", + "*Simulation Command\n", + ".tran 1ns 50ns\n", + "\n", + "* ngspice control statements\n", + ".control\n", + "\n", + "run\n", + "print v(a) v(out) > inv_plot_data.txt\n", + "quit\n", + "\n", + ".endc\n", + "\n", + ".end\n" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "dhlNuK_sH-ag" + }, + "source": [ + "Simulating created netlist using ngspice and plotting the input and output signals of the inverter" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 430 + }, + "id": "MqVcD1bkbhXM", + "outputId": "a8a4d7f3-2578-47b4-ea75-768ddc17d9e0" + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "!cd sim/ && ngspice inv.spice\n", + "clear_output(wait=False) # Keeps notebook tidy by clearing ngspice terminal outputs\n", + "\n", + "a_vs_out = read_op_file(\"sim/inv_plot_data.txt\", [\"a\",\"out\"])\n", + "plot_from_op(a_vs_out)" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "HoaNQHdZru6H" + }, + "source": [ + "# Loading and simulating custom magic layouts for analog circuits\n", + "\n", + "Since this work makes use of analog and RF blocks, the layouts have been done manually using `magic`. These `.mag` files need to be imported and the respective `.spice` netlists extracted for simulation and `.gds` files generated." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "Q99IYdpZQ71q" + }, + "source": [ + "Loading custom inverter layout in magic to extract spice netlist and generate GDSII file" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "WK98GqUWgn8i", + "outputId": "3ee363f5-da2f-4f8c-c294-5f424ed0d82e" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "Magic 8.3 revision 464 - Compiled on Sat Mar 9 23:18:29 UTC 2024.\n", + "Starting magic under Tcl interpreter\n", + "Using the terminal as the console.\n", + "Using NULL graphics device.\n", + "Processing system .magicrc file\n", + "Sourcing design .magicrc for technology sky130A ...\n", + "2 Magic internal units = 1 Lambda\n", + "Input style sky130(): scaleFactor=2, multiplier=2\n", + "The following types are not handled by extraction and will be treated as non-electrical types:\n", + " ubm \n", + "Scaled tech values by 2 / 1 to match internal grid scaling\n", + "Loading sky130A Device Generator Menu ...\n", + "Using technology \"sky130A\", version 1.0.471-0-g97d0844\n", + "Cell inverter read from path 2.87GHz-MWG-SKY130/mag/pd\n", + "Scaled magic input cell inverter geometry by factor of 2\n", + "Extracting inverter into 2.87GHz-MWG-SKY130/mag/pd/inverter.ext:\n", + "exttospice finished.\n", + " Generating output for cell inverter\n" + ] + } + ], + "source": [ + "%%script magic -dnull -noconsole -rcfile {CONDA_PREFIX}/share/pdk/sky130A/libs.tech/magic/sky130A.magicrc\n", + "\n", + "load 2.87GHz-MWG-SKY130/mag/pd/inverter.mag\n", + "\n", + "extract\n", + "ext2spice lvs\n", + "ext2spice cthresh 0\n", + "ext2spice\n", + "\n", + "gds labels no\n", + "gds write gds/inverter.gds" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "UApOOdhURP7t" + }, + "source": [ + "Viewing the generated GDSII file" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 307 + }, + "id": "ybWFyVT_warj", + "outputId": "460c4851-da4e-466d-f9c7-9ddd159aca66" + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "" + ] + }, + "execution_count": 10, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "library = gdstk.read_gds('gds/inverter.gds')\n", + "top_cells = library.top_level()\n", + "top_cells[0].write_svg('images/inverter.svg')\n", + "cairosvg.svg2png(url='images/inverter.svg', write_to='images/inverter.png', scale=3.0)\n", + "Image('images/inverter.png')" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "a_FYSlKURVAY" + }, + "source": [ + "Simulating extracted netlists in ngspice and plotting waveforms" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 430 + }, + "id": "6A4FsMeN3Q4N", + "outputId": "1e50e4cc-2f86-4b17-9876-183e2d16f812" + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "!mv *.spice sim/\n", + "\n", + "path = \"sim/inverter.spice\"\n", + "signals = \"va IN gnd pulse 1.8 0 0ns 10ps 10ps 10ns 20ns\"\n", + "sim = \".tran 1ns 50ns\"\n", + "control = \"print v(IN) v(OUT) > inv_plot_data.txt\"\n", + "control = control+\"\\nquit\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "\n", + "!cd sim/ && ngspice inverter_sim.spice\n", + "clear_output(wait=False)\n", + "\n", + "plot_from_op(read_op_file(\"sim/inv_plot_data.txt\", [\"IN\",\"OUT\"]))" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "S9nunZta4Wm0" + }, + "source": [ + "# Design and simulation of individual blocks\n", + "\n", + "The frequency synthesizer is divided into the following blocks:\n", + "1. Voltage controlled oscillator\n", + "1. Multi-modulus programmable divider\n", + "1. Phase-frequency detector\n", + "1. Charge Pump and loop filter\n", + "\n", + "Each block is designed and simulated individually using `magic` and `ngspice`." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "gJLkEplh6pFM" + }, + "source": [ + "## Voltage Controlled Oscillator (VCO)\n", + "\n", + "### Low Gain VCO Design Consideration\n", + "\n", + "For low frequency resolution with low phase noise while providing a sufficiently wide tuning range, the gain of the VCO ($K_{VCO}$) can be estimated by\n", + "\n", + " $$ \\Delta f = K_{VCO}\\times\\Delta V_{cont}$$\n", + "\n", + "where, $\\Delta V_{cont}$ is the change in control voltage at VCO input.\n", + "Very small values (few 100's $\\mu$V) of $\\Delta V_{cont}$ are more prone to noise, whereas larger values (10's of mV) will require extremely low $K_{VCO}$. To achieve this low $K_{VCO}$ requirement, there are two choices for the VCO realization - 1) LC VCO or 2) ring VCO with CMOS inverters. LC VCO choice results in increased chip area and possibility of degradation of field homogeneity in the sensing area due to the magnetic coupling between on-chip inductor and $|\\vec{B_z}|$ [1]. Therefore, an M-stage ring oscillator (RO) topology is chosen in the proposed work, for which, oscillation frequency ($f_{RF}$) can be given by\n", + "\n", + "$$f_{RF} = \\frac{1}{2Mt_d}$$\n", + "\n", + "$t_d$ ($\\propto C_{T}$) is the delay of each stage, where $C_{T}$ is the total capacitance at each node. Gain of the ring VCO can be expressed by\n", + "\n", + "$$|K_{VCO}| = \\frac{\\partial f_{RF}}{\\partial V_{cont}} = \\frac{1}{2Mt_d^2} \\frac{\\partial t_d}{\\partial V_{cont}} = 2Mf_{RF}^2\\frac{\\partial t_d}{\\partial V_{cont}} $$\n", + "\n", + "This gives important insights about designing low gain ring $VCO$ - 1) by minimizing M and 2) by making $C_T$ a weaker function of $V_{cont}$ to reduce $\\frac{\\partial t_d}{\\partial V_{cont}}$.\n", + "\n", + "### Implementation\n", + "\n", + "![VCO](https://drive.google.com/uc?export=view&id=1Gd3sH0xImRpE8xA1sh6tsxq4HIq98lIl)\n", + "\n", + "The proposed VCO uses the minimum number (M=3) of CMOS inverter stages with varactor and a capacitor bank at each node. As shown in the figure, each capacitor bank contains a fix capacitance ($C_{fix}$) and parallel combination of 6 unit capacitance ($C_u$), which are realized using MIM capacitors. $C_u$ is used\n", + "for the coarse tuning of the VCO and is controlled by a 6-bit signal ($A_5...A_0$).\n", + "MOS varactors are controlled by $V_{cont}$ and facilitate the finer tuning of $f_{RF}$ [6]. Varactors with minimum size have been used for having the least value of $\\frac{\\partial t_d}{\\partial V_{cont}}$ for low $K_{VCO}$." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "D04c37DI4hyw" + }, + "source": [ + "### Generating gds and `spice` netlists from `.mag` for VCO" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "hLPidf2G-Wyd", + "outputId": "dbe83c40-f8fd-48dd-9e94-e59992586e50" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "\n", + "Magic 8.3 revision 464 - Compiled on Sat Mar 9 23:18:29 UTC 2024.\n", + "Starting magic under Tcl interpreter\n", + "Using the terminal as the console.\n", + "Using NULL graphics device.\n", + "Processing system .magicrc file\n", + "Sourcing design .magicrc for technology sky130A ...\n", + "2 Magic internal units = 1 Lambda\n", + "Input style sky130(): scaleFactor=2, multiplier=2\n", + "The following types are not handled by extraction and will be treated as non-electrical types:\n", + " ubm \n", + "Scaled tech values by 2 / 1 to match internal grid scaling\n", + "Loading sky130A Device Generator Menu ...\n", + "Using technology \"sky130A\", version 1.0.471-0-g97d0844\n", + "Cell ro_complete read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Scaled magic input cell ro_complete geometry by factor of 2\n", + "Cell ro_var_extend read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Cell cbank read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Cell switch read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Scaled magic input cell switch geometry by factor of 2\n", + "Processing timestamp mismatches.\n", + "Timestamp mismatches found in these cells: cbank, switch.\n", + "Extracting cbank into 2.87GHz-MWG-SKY130/mag/ro/cbank.ext:\n", + "Extracting ro_complete into 2.87GHz-MWG-SKY130/mag/ro/ro_complete.ext:\n", + "exttospice finished.\n", + " Generating output for cell switch\n", + " Generating output for cell cbank\n", + " Generating output for cell ro_var_extend\n", + " Generating output for cell ro_complete\n" + ] + } + ], + "source": [ + "%%script magic -dnull -noconsole -rcfile {CONDA_PREFIX}/share/pdk/sky130A/libs.tech/magic/sky130A.magicrc\n", + "\n", + "load 2.87GHz-MWG-SKY130/mag/ro/ro_complete.mag\n", + "\n", + "extract\n", + "ext2spice lvs\n", + "ext2spice cthresh 0\n", + "ext2spice\n", + "\n", + "gds labels no\n", + "gds write gds/ro_complete.gds" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "TvRoUAGbdgUh", + "outputId": "e5602350-e5dd-439e-9b39-3dcc6b4caf51" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "\n", + "Magic 8.3 revision 464 - Compiled on Sat Mar 9 23:18:29 UTC 2024.\n", + "Starting magic under Tcl interpreter\n", + "Using the terminal as the console.\n", + "Using NULL graphics device.\n", + "Processing system .magicrc file\n", + "Sourcing design .magicrc for technology sky130A ...\n", + "2 Magic internal units = 1 Lambda\n", + "Input style sky130(): scaleFactor=2, multiplier=2\n", + "The following types are not handled by extraction and will be treated as non-electrical types:\n", + " ubm \n", + "Scaled tech values by 2 / 1 to match internal grid scaling\n", + "Loading sky130A Device Generator Menu ...\n", + "Using technology \"sky130A\", version 1.0.471-0-g97d0844\n", + "Cell ro_var_extend read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Extracting ro_var_extend into 2.87GHz-MWG-SKY130/mag/ro/ro_var_extend.ext:\n", + "exttospice finished.\n", + " Generating output for cell ro_var_extend\n" + ] + } + ], + "source": [ + "%%script magic -dnull -noconsole -rcfile {CONDA_PREFIX}/share/pdk/sky130A/libs.tech/magic/sky130A.magicrc\n", + "\n", + "load 2.87GHz-MWG-SKY130/mag/ro/ro_var_extend.mag\n", + "\n", + "extract\n", + "ext2spice lvs\n", + "ext2spice cthresh 0\n", + "ext2spice\n", + "\n", + "gds labels no\n", + "gds write gds/ro_var_extend.gds" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "Ng5u_tFHZjZ8", + "outputId": "6c54275d-76d2-437c-96a3-b86b089c8e30" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "\n", + "Magic 8.3 revision 464 - Compiled on Sat Mar 9 23:18:29 UTC 2024.\n", + "Starting magic under Tcl interpreter\n", + "Using the terminal as the console.\n", + "Using NULL graphics device.\n", + "Processing system .magicrc file\n", + "Sourcing design .magicrc for technology sky130A ...\n", + "2 Magic internal units = 1 Lambda\n", + "Input style sky130(): scaleFactor=2, multiplier=2\n", + "The following types are not handled by extraction and will be treated as non-electrical types:\n", + " ubm \n", + "Scaled tech values by 2 / 1 to match internal grid scaling\n", + "Loading sky130A Device Generator Menu ...\n", + "Using technology \"sky130A\", version 1.0.471-0-g97d0844\n", + "Cell ro_with_cbank read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Scaled magic input cell ro_with_cbank geometry by factor of 2\n", + "Cell cbank_smol read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Cell switch read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Scaled magic input cell switch geometry by factor of 2\n", + "Cell ro_var_extend read from path 2.87GHz-MWG-SKY130/mag/ro\n", + "Extracting switch into 2.87GHz-MWG-SKY130/mag/ro/switch.ext:\n", + "Extracting cbank_smol into 2.87GHz-MWG-SKY130/mag/ro/cbank_smol.ext:\n", + "Extracting ro_with_cbank into 2.87GHz-MWG-SKY130/mag/ro/ro_with_cbank.ext:\n", + "exttospice finished.\n", + " Generating output for cell switch\n", + " Generating output for cell cbank_smol\n", + " Generating output for cell ro_var_extend\n", + " Generating output for cell ro_with_cbank\n" + ] + } + ], + "source": [ + "%%script magic -dnull -noconsole -rcfile {CONDA_PREFIX}/share/pdk/sky130A/libs.tech/magic/sky130A.magicrc\n", + "\n", + "load 2.87GHz-MWG-SKY130/mag/ro/ro_with_cbank.mag\n", + "\n", + "extract\n", + "ext2spice lvs\n", + "ext2spice cthresh 0\n", + "ext2spice\n", + "\n", + "gds labels no\n", + "gds write gds/ro_with_cbank.gds" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 801 + }, + "id": "HCpk2k5wZxx4", + "outputId": "908e1f9d-3da7-4e75-f873-71b96a342406" + }, + "outputs": [ + { + "output_type": "execute_result", + "data": { + "image/png": "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\n", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "execution_count": 64 + } + ], + "source": [ + "library = gdstk.read_gds('gds/ro_complete.gds')\n", + "top_cells = library.top_level()\n", + "top_cells[0].write_svg('images/ro_complete.svg')\n", + "cairosvg.svg2png(url='images/ro_complete.svg', write_to='images/ro_complete.png', scale=1.0)\n", + "Image('images/ro_complete.png')" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "ixOKjLUv44mw" + }, + "source": [ + "### Simulating VCO" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "Y8fWCAPMeA8B" + }, + "source": [ + "The ring oscillator present in the VCO is simulated. The frequency at each stage of the 3 stage RO is obtained." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 481 + }, + "id": "2_a4gG9Q7mFw", + "outputId": "54b2905d-2f6f-4c86-ff15-d5cbe2a40a7f" + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Frequency of out1 = 1.2583545303153965 GHz\n", + "Frequency of out2 = 1.261163026887113 GHz\n", + "Frequency of out3 = 1.2586551420845444 GHz\n" + ] + } + ], + "source": [ + "!mv *.spice sim/\n", + "\n", + "path = \"sim/ro_var_extend.spice\"\n", + "signals = \"vcont vcont gnd dc 1.8\"\n", + "sim = \"\"\".tran 0.1ns 10ns\n", + ".measure tran out1 TRIG v(out1) VAL=0.9 RISE=5 TARG v(out1) VAL=0.9 RISE=6\n", + ".measure tran out2 TRIG v(out2) VAL=0.9 RISE=5 TARG v(out2) VAL=0.9 RISE=6\n", + ".measure tran out3 TRIG v(out3) VAL=0.9 RISE=5 TARG v(out3) VAL=0.9 RISE=6\"\"\"\n", + "control = \"\"\"print v(out1) v(out2) v(out3) > ro_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "\n", + "!cd sim/ && ngspice ro_var_extend_sim.spice > ro_out.txt\n", + "clear_output(wait=False)\n", + "\n", + "plot_from_op(read_op_file(\"sim/ro_data.txt\", [\"out1\",\"out2\",\"out3\"]))\n", + "\n", + "meas = read_meas_from_spice_out('sim/ro_out.txt')\n", + "print(\"Frequency of out1 = \"+ str(1e-9*1/float(meas[0])) +\" GHz\")\n", + "print(\"Frequency of out2 = \"+ str(1e-9*1/float(meas[1])) +\" GHz\")\n", + "print(\"Frequency of out3 = \"+ str(1e-9*1/float(meas[2])) +\" GHz\")" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "u4gSwiSeeRWA" + }, + "source": [ + "The variation of output frequency with change in VCO control voltage is observed by sweeping control voltage from 0V to 1.9V in steps of 0.1V.\n", + "\n", + "> Note: The following cell may take quite some time to run" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 466 + }, + "id": "oIrei2BdfgLG", + "outputId": "86edd5bc-1f3d-4192-f2fb-7ad59197927d" + }, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 0, 'Control Voltage (V)')" + ] + }, + "execution_count": 49, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "out_v = []\n", + "for i in np.arange(0,1.9,0.1):\n", + " path = \"sim/ro_var_extend.spice\"\n", + " signals = \"vcont vcont gnd dc \"+str(i)\n", + " sim = \"\"\".tran 0.1ns 10ns\n", + " .measure tran out1 TRIG v(out1) VAL=0.9 RISE=5 TARG v(out1) VAL=0.9 RISE=6\n", + " .measure tran out2 TRIG v(out2) VAL=0.9 RISE=5 TARG v(out2) VAL=0.9 RISE=6\n", + " .measure tran out3 TRIG v(out3) VAL=0.9 RISE=5 TARG v(out3) VAL=0.9 RISE=6\"\"\"\n", + " control = \"\"\"print v(out1) v(out2) v(out3) > ro_data.txt\n", + " quit\"\"\"\n", + " mod_extracted_net(path, signals, sim, control)\n", + "\n", + " !cd sim/ && ngspice ro_var_extend_sim.spice > ro_out.txt\n", + " clear_output(wait=False)\n", + "\n", + " meas = read_meas_from_spice_out('sim/ro_out.txt')\n", + " out_v.append(1e-9*1/float(meas[0]))\n", + "\n", + "plt.plot(np.arange(0,1.9,0.1),out_v)\n", + "plt.ylabel(\"Output Frequency (GHz)\")\n", + "plt.xlabel(\"Control Voltage (V)\")" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "ICz1awzWeqxw" + }, + "source": [ + "It is noted that the control voltage can be used only for fine tuning of the output frequency. The capacitor bank is added for the coarse tuning of output frequency." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 447 + }, + "id": "gZF9Bc-GZ_Ug", + "outputId": "ea2069b2-a2c1-4705-9cb6-ddd6509be5f1" + }, + "outputs": [ + { + "output_type": "display_data", + "data": { + "text/plain": [ + "
" + ], + "image/png": "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\n" + }, + "metadata": {} + }, + { + "output_type": "stream", + "name": "stdout", + "text": [ + "Frequency of out = 0.9587801248715235 GHz\n" + ] + } + ], + "source": [ + "!mv *.spice sim/\n", + "\n", + "path = \"sim/ro_with_cbank.spice\"\n", + "signals = \"\"\"vcont vcont gnd dc 1.8\n", + "va0 a0 gnd dc 0\n", + "va1 a1 gnd dc 0\n", + "va2 a2 gnd dc 0\n", + "va3 a3 gnd dc 0\n", + "va4 a4 gnd dc 0\n", + "va5 a5 gnd dc 0\"\"\"\n", + "sim = \"\"\".tran 0.1ns 10ns\n", + ".measure tran out TRIG v(out) VAL=0.9 RISE=5 TARG v(out) VAL=0.9 RISE=6\"\"\"\n", + "control = \"\"\"print v(out) > ro_with_cbank_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "\n", + "!cd sim/ && ngspice ro_with_cbank_sim.spice > ro_with_cbank_out.txt\n", + "\n", + "clear_output(wait=False)\n", + "\n", + "plot_from_op(read_op_file(\"sim/ro_with_cbank_data.txt\", [\"out\"]))\n", + "\n", + "meas = read_meas_from_spice_out('sim/ro_with_cbank_out.txt')\n", + "print(\"Frequency of out = \"+ str(1e-9*1/float(meas[0])) +\" GHz\")" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "R0bz0DAZfmlt" + }, + "source": [ + "The functioning of the capacitor bank and change in frequency with capacitor bank steps can be observed using the following cell of code.\n", + "\n", + "> Note: The following cell may take quite some time to run" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "gYgBB4M4zyi2" + }, + "outputs": [], + "source": [ + "!mv *.spice sim/\n", + "\n", + "out_v = []\n", + "path = \"sim/ro_with_cbank.spice\"\n", + "sim = \"\"\".tran 0.1ns 30ns\n", + ".measure tran out TRIG v(out) VAL=0.9 RISE=5 TARG v(out) VAL=0.9 RISE=6\"\"\"\n", + "\n", + "signals = \"\"\"vcont vcont gnd dc 1.8\n", + "va0 a0 gnd dc 0\n", + "va1 a1 gnd dc 0\n", + "va2 a2 gnd dc 0\n", + "va3 a3 gnd dc 0\n", + "va4 a4 gnd dc 0\n", + "va5 a5 gnd dc 0\"\"\"\n", + "control = \"\"\"print v(out) > ro_with_cbank_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice ro_with_cbank_sim.spice > ro_with_cbank_out.txt\n", + "clear_output(wait=False)\n", + "out_v.append(1e-6*1/float(read_meas_from_spice_out('sim/ro_with_cbank_out.txt')[0]))\n", + "\n", + "signals = \"\"\"vcont vcont gnd dc 1.8\n", + "va0 a0 gnd dc 1.8\n", + "va1 a1 gnd dc 0\n", + "va2 a2 gnd dc 0\n", + "va3 a3 gnd dc 0\n", + "va4 a4 gnd dc 0\n", + "va5 a5 gnd dc 0\"\"\"\n", + "control = \"\"\"print v(out) > ro_with_cbank_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice ro_with_cbank_sim.spice > ro_with_cbank_out.txt\n", + "clear_output(wait=False)\n", + "out_v.append(1e-6*1/float(read_meas_from_spice_out('sim/ro_with_cbank_out.txt')[0]))\n", + "\n", + "signals = \"\"\"vcont vcont gnd dc 1.8\n", + "va0 a0 gnd dc 1.8\n", + "va1 a1 gnd dc 1.8\n", + "va2 a2 gnd dc 0\n", + "va3 a3 gnd dc 0\n", + "va4 a4 gnd dc 0\n", + "va5 a5 gnd dc 0\"\"\"\n", + "control = \"\"\"print v(out) > ro_with_cbank_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice ro_with_cbank_sim.spice > ro_with_cbank_out.txt\n", + "clear_output(wait=False)\n", + "out_v.append(1e-6*1/float(read_meas_from_spice_out('sim/ro_with_cbank_out.txt')[0]))\n", + "\n", + "signals = \"\"\"vcont vcont gnd dc 1.8\n", + "va0 a0 gnd dc 1.8\n", + "va1 a1 gnd dc 1.8\n", + "va2 a2 gnd dc 1.8\n", + "va3 a3 gnd dc 0\n", + "va4 a4 gnd dc 0\n", + "va5 a5 gnd dc 0\"\"\"\n", + "control = \"\"\"print v(out) > ro_with_cbank_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice ro_with_cbank_sim.spice > ro_with_cbank_out.txt\n", + "clear_output(wait=False)\n", + "out_v.append(1e-6*1/float(read_meas_from_spice_out('sim/ro_with_cbank_out.txt')[0]))\n", + "\n", + "signals = \"\"\"vcont vcont gnd dc 1.8\n", + "va0 a0 gnd dc 1.8\n", + "va1 a1 gnd dc 1.8\n", + "va2 a2 gnd dc 1.8\n", + "va3 a3 gnd dc 1.8\n", + "va4 a4 gnd dc 0\n", + "va5 a5 gnd dc 0\"\"\"\n", + "control = \"\"\"print v(out) > ro_with_cbank_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice ro_with_cbank_sim.spice > ro_with_cbank_out.txt\n", + "clear_output(wait=False)\n", + "out_v.append(1e-6*1/float(read_meas_from_spice_out('sim/ro_with_cbank_out.txt')[0]))\n", + "\n", + "signals = \"\"\"vcont vcont gnd dc 1.8\n", + "va0 a0 gnd dc 1.8\n", + "va1 a1 gnd dc 1.8\n", + "va2 a2 gnd dc 1.8\n", + "va3 a3 gnd dc 1.8\n", + "va4 a4 gnd dc 1.8\n", + "va5 a5 gnd dc 0\"\"\"\n", + "control = \"\"\"print v(out) > ro_with_cbank_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice ro_with_cbank_sim.spice > ro_with_cbank_out.txt\n", + "clear_output(wait=False)\n", + "out_v.append(1e-6*1/float(read_meas_from_spice_out('sim/ro_with_cbank_out.txt')[0]))\n", + "\n", + "signals = \"\"\"vcont vcont gnd dc 1.8\n", + "va0 a0 gnd dc 1.8\n", + "va1 a1 gnd dc 1.8\n", + "va2 a2 gnd dc 1.8\n", + "va3 a3 gnd dc 1.8\n", + "va4 a4 gnd dc 1.8\n", + "va5 a5 gnd dc 1.8\"\"\"\n", + "control = \"\"\"print v(out) > ro_with_cbank_data.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice ro_with_cbank_sim.spice > ro_with_cbank_out.txt\n", + "clear_output(wait=False)\n", + "out_v.append(1e-6*1/float(read_meas_from_spice_out('sim/ro_with_cbank_out.txt')[0]))\n", + "\n", + "plt.plot(out_v)\n", + "plt.xlabel(\"Capacitance Bank Steps\")\n", + "plt.ylabel(\"Frequency(MHz)\")\n", + "plt.show()" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "8u2n_ld66uHi" + }, + "source": [ + "## Multi-modulus divider\n", + "\n", + "### Programmable Divider Design Considerations\n", + "\n", + "In order to obtain a resolution of $\\Delta f$ near $f_{RF}$, the divider must divide by $(N+1)$ for a fraction $x$ of the cycles of reference signal having frequency $f_{ref}$ and divide by $N$ for the remaining cycles [10].\n", + "\n", + "$$f_{RF} + \\Delta f = (N+x) f_{ref}$$\n", + "\n", + "Under locked condition ($f_{RF} = N \\times f_{ref}$), $x = \\frac{\\Delta f}{f_{ref}}$. A modulus control signal is generated by considering $x=\\frac{p}{q}$, where $p$ and $q$ are the number of total reference cycles and number cycles for which the modulus signal is low, respectively. This modulus control signal programs the divider for fractional-N operation and switches the center frequency of the VCO. Very low $f_{ref}$ will require high N resulting into more area and dynamic power consumption, whereas very high $f_{ref}$ will make $x$ too small, which will require more number of reference cycles for fractional-N operation. Considering these points, we chose $f_{ref}\\approx$ 90 MHz, which gives N=32.\n", + "\n", + "### Implementation\n", + "\n", + "\n", + "![Multi-modulus divider](https://drive.google.com/uc?export=view&id=1xrlQR3XcrxOOtkNAKHK5cZZ9If6BgJtm)\n", + "\n", + "\n", + "The programmable divider of the proposed MWG consists of three True Single-Phase Clock (TSPC) D flip-flops and a 4/5 prescaler that clocks the TSPC stages controlled by $MC_1$ which is NOR of the input of each of the TSPC stages and the modulus control signal [7].\n", + "\n", + "![Prescaler](https://drive.google.com/uc?export=view&id=1WQpKvqkDDDbqb2GJydOMmIQjvgwB_sdr)\n", + "\n", + "The 4/5 prescaler consists of TSPC stages and the required NAND logic to switch between divide by 4 and 5 based on $MC_1$.\n", + "\n", + "![TSPC](https://drive.google.com/uc?export=view&id=1VUmbZrFnYLIibeJ4Nbo61SBG3cbPstTP)\n", + "\n", + "Figure shows the schematic of the TSPC logic based D flip flops [8]. Each TSPC stage acts as divide by 2 unit." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "iVdhr_Fk8Kb2" + }, + "source": [ + "### Generating gds and `spice` netlists from `.mag` for multi-modulus divider" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "O5C9Eap2QvhK", + "outputId": "81a41120-db5d-4d3a-e257-80f50206c56e" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "Magic 8.3 revision 464 - Compiled on Sat Mar 9 23:18:29 UTC 2024.\n", + "Starting magic under Tcl interpreter\n", + "Using the terminal as the console.\n", + "Using NULL graphics device.\n", + "Processing system .magicrc file\n", + "Sourcing design .magicrc for technology sky130A ...\n", + "2 Magic internal units = 1 Lambda\n", + "Input style sky130(): scaleFactor=2, multiplier=2\n", + "The following types are not handled by extraction and will be treated as non-electrical types:\n", + " ubm \n", + "Scaled tech values by 2 / 1 to match internal grid scaling\n", + "Loading sky130A Device Generator Menu ...\n", + "Using technology \"sky130A\", version 1.0.471-0-g97d0844\n", + "Cell divider read from path 2.87GHz-MWG-SKY130/mag/div\n", + "Scaled magic input cell divider geometry by factor of 2\n", + "Cell tspc read from path 2.87GHz-MWG-SKY130/mag/div\n", + "Scaled magic input cell tspc geometry by factor of 2\n", + "Cell and read from path 2.87GHz-MWG-SKY130/mag/div\n", + "Scaled magic input cell and geometry by factor of 2\n", + "Cell nor read from path 2.87GHz-MWG-SKY130/mag/div\n", + "Scaled magic input cell nor geometry by factor of 2\n", + "Cell prescaler read from path 2.87GHz-MWG-SKY130/mag/div\n", + "Scaled magic input cell prescaler geometry by factor of 2\n", + "Cell nand read from path 2.87GHz-MWG-SKY130/mag/div\n", + "Scaled magic input cell nand geometry by factor of 2\n", + "Extracting tspc into 2.87GHz-MWG-SKY130/mag/div/tspc.ext:\n", + "Extracting and into 2.87GHz-MWG-SKY130/mag/div/and.ext:\n", + "Extracting nor into 2.87GHz-MWG-SKY130/mag/div/nor.ext:\n", + "Extracting nand into 2.87GHz-MWG-SKY130/mag/div/nand.ext:\n", + "Extracting prescaler into 2.87GHz-MWG-SKY130/mag/div/prescaler.ext:\n", + "prescaler: 6 warnings\n", + "Extracting divider into 2.87GHz-MWG-SKY130/mag/div/divider.ext:\n", + "divider: 8 warnings\n", + "exttospice finished.\n", + " Generating output for cell tspc\n", + " Generating output for cell and\n", + " Generating output for cell nor\n", + " Generating output for cell nand\n", + " Generating output for cell prescaler\n", + " Generating output for cell divider\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "freeMagic called with NULL argument.\n", + "Total of 14 warnings.\n" + ] + } + ], + "source": [ + "%%script magic -dnull -noconsole -rcfile {CONDA_PREFIX}/share/pdk/sky130A/libs.tech/magic/sky130A.magicrc\n", + "\n", + "load 2.87GHz-MWG-SKY130/mag/div/divider.mag\n", + "\n", + "extract\n", + "ext2spice lvs\n", + "ext2spice cthresh 0\n", + "ext2spice\n", + "\n", + "gds labels no\n", + "gds write gds/divider.gds" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 597 + }, + "id": "KQdhcnRMQvhM", + "outputId": "723ccd88-3734-45f4-95bc-3e28416a9f72" + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "" + ] + }, + "execution_count": 51, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "library = gdstk.read_gds('gds/divider.gds')\n", + "top_cells = library.top_level()\n", + "top_cells[0].write_svg('images/divider.svg')\n", + "cairosvg.svg2png(url='images/divider.svg', write_to='images/divider.png', scale=2.0)\n", + "Image('images/divider.png')" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "8KK-qXgh8QyE" + }, + "source": [ + "### Simulating multi-modulus divider" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "m-Dax204gwEh" + }, + "source": [ + "The multi-modulus divider needs to be tested to ensure that it is able to produce the 2 different divide ratios controlled by the modulus control signal. An input signal is 1.25 GHz frequency is considered." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 911 + }, + "id": "93EHGXXoQvhN", + "outputId": "e6bfa573-24c0-4027-ada8-d4fa2850b033" + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Divider simulation with MC2 signal at `LOW`\n", + "Frequency of out = 37.878787878787875 MHz\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Divider simulation with MC2 signal at `HIGH`\n", + "Frequency of out = 39.0625 MHz\n" + ] + } + ], + "source": [ + "!mv *.spice sim/\n", + "\n", + "\n", + "path = \"sim/divider.spice\"\n", + "signals = \"\"\"vmc mc2 gnd dc 0\n", + "vclk clk gnd pulse 1.8 0 0ns 10ps 10ps 0.4ns 0.8ns\"\"\"\n", + "sim = \"\"\".tran 0.1ns 100ns\n", + ".measure tran out TRIG v(out) VAL=0.9 RISE=2 TARG v(out) VAL=0.9 RISE=3\"\"\"\n", + "control = \"print v(clk) v(out) v(mc2)> div_data_1.txt\"\n", + "control = control+\"\\nquit\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "\n", + "!cd sim/ && ngspice divider_sim.spice > div_out_1.txt\n", + "clear_output(wait=False)\n", + "\n", + "path = \"sim/divider.spice\"\n", + "signals = \"\"\"vmc mc2 gnd dc 1.8\n", + "vclk clk gnd pulse 1.8 0 0ns 10ps 10ps 0.4ns 0.8ns\"\"\"\n", + "sim = \"\"\".tran 0.1ns 100ns\n", + ".measure tran out TRIG v(out) VAL=0.9 RISE=2 TARG v(out) VAL=0.9 RISE=3\"\"\"\n", + "control = \"print v(clk) v(out) v(mc2)> div_data_2.txt\"\n", + "control = control+\"\\nquit\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "\n", + "!cd sim/ && ngspice divider_sim.spice > div_out_2.txt\n", + "clear_output(wait=False)\n", + "\n", + "plot_from_op(read_op_file(\"sim/div_data_1.txt\", [\"clk\",\"out\",\"mc2\"]))\n", + "meas = read_meas_from_spice_out('sim/div_out_1.txt')\n", + "print(\"Divider simulation with MC2 signal at `LOW`\")\n", + "print(\"Frequency of out = \"+ str(1e-6*1/float(meas[0])) +\" MHz\")\n", + "\n", + "plot_from_op(read_op_file(\"sim/div_data_2.txt\", [\"clk\",\"out\",\"mc2\"]))\n", + "meas = read_meas_from_spice_out('sim/div_out_2.txt')\n", + "print(\"Divider simulation with MC2 signal at `HIGH`\")\n", + "print(\"Frequency of out = \"+ str(1e-6*1/float(meas[0])) +\" MHz\")" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "GjGaZYqzhGFf" + }, + "source": [ + "The output frequencies produced by the divider for the different values of the modulus control signal are 37.8787 MHz (1.25 GHz divided by 33) and 39.0625 MHz (1.25 GHz divided by 32)." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "QxKF042l6wi-" + }, + "source": [ + "## Phase-Frequency Detector (PFD)\n", + "\n", + "![PFD](https://drive.google.com/uc?export=view&id=1IU0h2xTBJ0mjZKY4iRR20bvuBcZ9i9FJ)\n", + "\n", + "Figure shows the block diagram of the PFD, which consists of two D-flip-flops and an AND gate [9]. The two flip-flops have their inputs connected to supply and clocked by the reference signal ($f_{ref}$) and divider output (Div), respectively. They generate the Up and Down pulses for driving the charge pump (CP) according to the phase difference in the reference and divider output signals [10]." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "mu-urf0p30OG" + }, + "source": [ + "### Generating gds and `spice` netlists from `.mag` for PFD" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "z8dciXHo30OK", + "outputId": "c67f5a64-8b15-4b86-d556-b4541d6ba960" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "Magic 8.3 revision 464 - Compiled on Sat Mar 9 23:18:29 UTC 2024.\n", + "Starting magic under Tcl interpreter\n", + "Using the terminal as the console.\n", + "Using NULL graphics device.\n", + "Processing system .magicrc file\n", + "Sourcing design .magicrc for technology sky130A ...\n", + "2 Magic internal units = 1 Lambda\n", + "Input style sky130(): scaleFactor=2, multiplier=2\n", + "The following types are not handled by extraction and will be treated as non-electrical types:\n", + " ubm \n", + "Scaled tech values by 2 / 1 to match internal grid scaling\n", + "Loading sky130A Device Generator Menu ...\n", + "Using technology \"sky130A\", version 1.0.471-0-g97d0844\n", + "Cell pd read from path 2.87GHz-MWG-SKY130/mag/pd\n", + "Scaled magic input cell pd geometry by factor of 2\n", + "Cell tspc_r read from path 2.87GHz-MWG-SKY130/mag/pd\n", + "Scaled magic input cell tspc_r geometry by factor of 2\n", + "Cell and_pd read from path 2.87GHz-MWG-SKY130/mag/pd\n", + "Scaled magic input cell and_pd geometry by factor of 2\n", + "Processing timestamp mismatches.\n", + "Timestamp mismatches found in these cells: tspc_r, and_pd.\n", + "Extracting tspc_r into 2.87GHz-MWG-SKY130/mag/pd/tspc_r.ext:\n", + "Extracting and_pd into 2.87GHz-MWG-SKY130/mag/pd/and_pd.ext:\n", + "Extracting pd into 2.87GHz-MWG-SKY130/mag/pd/pd.ext:\n", + "pd: 3 warnings\n", + "exttospice finished.\n", + " Generating output for cell tspc_r\n", + " Generating output for cell and_pd\n", + " Generating output for cell pd\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "freeMagic called with NULL argument.\n", + "Total of 3 warnings.\n" + ] + } + ], + "source": [ + "%%script magic -dnull -noconsole -rcfile {CONDA_PREFIX}/share/pdk/sky130A/libs.tech/magic/sky130A.magicrc\n", + "\n", + "load 2.87GHz-MWG-SKY130/mag/pd/pd.mag\n", + "\n", + "extract\n", + "ext2spice lvs\n", + "ext2spice cthresh 0\n", + "ext2spice\n", + "\n", + "gds labels no\n", + "gds write gds/pd.gds" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 578 + }, + "id": "nX-vtNMH30OM", + "outputId": "30f76814-7c5c-4677-d707-53a64d24057d" + }, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "" + ] + }, + "execution_count": 54, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "library = gdstk.read_gds('gds/pd.gds')\n", + "top_cells = library.top_level()\n", + "top_cells[0].write_svg('images/pd.svg')\n", + "cairosvg.svg2png(url='images/pd.svg', write_to='images/pd.png', scale=3.0)\n", + "Image('images/pd.png')" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "d0HCsxMQ30OM" + }, + "source": [ + "### Simulating pulse frequency detector" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "B2NSRQb6imlS" + }, + "source": [ + "The 4 possible cases are\n", + "1. Divider output leads reference signal with higher frequency\n", + "1. Divider output leads reference signal with lower frequency\n", + "1. Divider output follows reference signal with higher frequency\n", + "1. Divider output follows reference signal with lower frequency\n", + "All cases are simlated using the following cell.\n", + "\n", + "> Note: The following cell may take quite some time to run" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 1000 + }, + "id": "6ThTLbB4mieI", + "outputId": "51c92259-88c7-4a73-896b-2e8248f19410" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Divider output leading reference signal with higher frequency\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkAAAAGdCAYAAAD60sxaAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAA9hAAAPYQGoP6dpAAB54UlEQVR4nO2de3wU1fn/P7O72U0CJAFCbspVEAS5iRqhUkGjAa1oa71QK0gVv1r5VcVbsRVEa/FS76WlWinaVkGroq0WxUhQKoKCKHjhokC4JOGa+333/P6Y7GRms5tsknNmz9l53q/XZrOzszNnnjPnnGee5znP0RhjDARBEARBEA7CFesCEARBEARB2A0pQARBEARBOA5SgAiCIAiCcBykABEEQRAE4ThIASIIgiAIwnGQAkQQBEEQhOMgBYggCIIgCMdBChBBEARBEI7DE+sCyEggEMDBgwfRo0cPaJoW6+IQBEEQBBEFjDFUVlYiJycHLlfbNh5SgMJw8OBB9O3bN9bFIAiCIAiiE+zbtw8nnnhim/uQAhSGHj16ANAFmJKSEuPSEARBEAQRDRUVFejbt68xjrcFKUBhCLq9UlJSSAEiCIIgCMWIJnyFgqAJgiAIgnAcpAARBEEQBOE4SAEiCIIgCMJxkAJEEARBEITjIAWIIAiCIAjHQQoQQRAEQRCOgxQggiAIgiAcBylABEEQBEE4DlKACIIgCIJwHKQAEQRBEAThOEgBIgiCIAjCcZACRBAEQRCE4yAFiCAIwmmU7QPWPQnUlsW6JERHqCwBPv4jUHMs1iWJC2g1eIIgCKfx3GSg+jBwZAdw6Z9iXRoiWl68BDj8LbD/U+CKF2JdGuUhCxBBEITTqD6svxetj205iI5x+Fv9/bs1sS1HnEAKECGGugrgy1eB+irr9qpDgL8pNmUiOs/+z4C//xg4sjPWJSG6AmPAR4+1fE7uHbuyEB3j42da/k/uFbtyxBHkAiPE8J9bgW2v6f9f/BQw9hpgVwHw8pUoHzkLnoseQTcf3X7cqCwFnhgOBJqAE8YBp83U33sPBhISu378ZT8CmmpR+rerUf+LQvTrndz1Y6oMY8Dyq4HtbwM9soGzbgIG/hDodRKQmBLr0kWmeAtQcH/L5yRJB9L1i4F37wFyTgPGXg0MmAik9gW8Dr3vjuwE3vtty2eeClBlid6+y/cBZ96g38c5pwFJaYDLze88EqIxxlisCyEbFRUVSE1NRXl5OVJSJO7MZOa+VOvn9KHAke3Gx18lLcLTd//S5kLFMavuAT5ZHP3+PQfog5/HB7gTAM2lvwJ+wJMIgAFNdboFz9sN2POR8dMf+57DG/Ou4H4JSnF8D/DU6Oj3754JpPUDNLcub5dHl3dCki5nrdkYz5j+HQAwP8AC1roBa/nMmP69eVtiKpD/e6BbOvDFcmDrv/TvG2t15XjfBkuxak65HMlX/pWDQDhSexx4eED0+2cM11+aC6gqBXw9AH+DLh/N7OTgPdRpwPBpwNifA9VHgNULgMqDeh2Hns8YZlnI53DbTN/5m/R627/RcuaqvpPR/bqVfC5j2Y8s7btNknoBqSfq93BCMqBp+vVqmn4vehL18hr3cwBwJTT/79fvYeN+bgJOvUxXcDnSkfGbHsEJezApPwDwdO08AKQAcSMY0xEtx/for05wc82fADhcATq8vf19zFSV6i87YAFg/M3AG//X7q71SRmQzqZyYFPH9j/0tf6KBbtW69aSNQ8C3/7HttPWJmWiO6+DRav8AEDtMf3Fi+xR/I7VCUgBIsTTaxDwwzuByhIc3rAcfar0wcMfYHC7tBgXLk7w17e/T48c3T3TezDQZyiQmAa4vbqZ2+VutkgkA031+hOd2wt4uwMVB/QB/9PnAAADNJsGcpkpK2p/H1+K/rSccgKQcYpunfF2b5G15tKVlYSk5idjDYCmPxkDLfuxQLMlyGRZYAF9X8PCwfQA2Y+fAb56oyVWq2+u7g5NSNQtDKXbULt3M5L2rQUA+D3dOAqFE+1N8dZcgLcHkNZXd4sNOLvZ6qABST2BxrpmV5mmWx1g6mM0jv3NR48Bx74H/v0rfVYWNOC8+UD3jJZzGueL8nNoGV1uva5Lv0L9vi3w7SkAAPiTOMZuJfXUrW6RSEzT7+UeWXrf0WugbmWDBrg9+n3pcuvWn8Za3YLJAu3fz64EIHM4v+voBKQAEWLoNwEo+lj//7rVukkewHv1Z+PqdecjwDQcr6pFeop0z59qEgwsT0gGZr0DZI3i7r+f/3ED7k94AbtZFgZzPbKCBPwt/8/8D3DiGXxirbrKjvd0a2vxFn2A+cmzurszyMifYvu+Mhx89nJc6N6IJn8gViWNjFm2v9ygD7puCYcqXwrwyjXNyg+AMVcDE+eKOdepl2FvaSW2/nE6LnN/BD/PekvqpStA4+cAP7xDV4gcAs0CI8Tgb9Dfr3rZUH4A4Dh6AABcGsPxoyWxKFl8wpoHjakPAzljhQQvljHd6J6MKKxN8U5Q3iMvBwZOlEP5AYBTftTy/7m/sSo/zZTVNBh12WRWNmQhKNshFwAZw+RUfgA9WNjXHGPSI0e3/gikrKbRqDd/gKMCFJT38EscpfwAZAEiRNFQrb+HzNqoaACOse7opVUBVUcADLK/bPFIcCDTxD3T1MIHAEjWSAFqkbdks2TO+TWQnK4rPsMuDLtLeW0jWLPLhaslgReyyjaUpDTg2v8AO1frgdA9MoWerqymwQiP5mq5CypTsstbADG1AH344Ye4+OKLkZOTA03TsHLlyjb3v/baa6FpWqvXiBEjjH3uu+++Vt8PGzZM8JUQrTAUIGuoXmVdI+rgBQBogQa7SxW/MPGdWDV0K0cSWYBanpoFKpydwuMFxv8yovIDAA1NATEDKS+CslVhCnb2aN1t1CNL+Kka/IEWxZWnBciI0ZHsXraBmF5xdXU1Ro8ejcWLo5u++9RTT6G4uNh47du3D7169cLll19u2W/EiBGW/datWyei+ERbNDYrQAlWC1BtQ4vJnRIwcMSGQaOWNVuAUCfsHMoQVDgVHDQCpobXFJCwEdpgzVQRf4AZChBXxZUpYnETQExdYFOnTsXUqVOj3j81NRWpqS35ZVauXInjx49j1qxZlv08Hg+yssRr5EQbBINy3V7LZmuHK2Hnqyo2DBo1zS6wJHKBKe028AcgtwvMUC7Vk61IzIqrn6fiGuw7XM6LiFFaxX7++eeRl5eH/v37W7bv3LkTOTk5GDRoEK6++moUFUUxZZXgi+GSsU47NT/FEByxYdAIKkAUBA213DQh+AMtrhQpg6CDLhkFlUuRNPmZ8cjo93OsN4Xv5a6irMp38OBB/Pe//8VLL71k2Z6bm4tly5Zh6NChKC4uxsKFCzFx4kRs27YNPXr0CHus+vp61Ne3dOoVFRVCy+4IDAXIqmNLaXKPB2ywADUyvbtIAK3lpkygbhj8gZZHEL9fwvYYcO6A3BYBZnKBcbUAqWvN7CrKKkAvvPAC0tLScOmll1q2m11qo0aNQm5uLvr3749XXnkF1113XdhjLVq0CAsXLhRZXOcRSQEym9wpCIgfNgRBk+XORIT7WwWaAgzNixPwdaXwgjnXJdMWetept0GuK1gZ8lbvXu4qSl4xYwxLly7FNddcA6/X2+a+aWlpOPnkk7Fr166I+8ybNw/l5eXGa9++fbyL7DzasAAxoxHbXag4xkYzNqlBUNptYLYkSBmHR0HQYbHO/BIQA+RAC5CSd9jatWuxa9euiBYdM1VVVfjuu++QnZ0dcR+fz4eUlBTLi+giERQgPwVBi8GGTowsQCYUHqTNQdBSPoVQEHRY9PhJHY1nvZmXqnAYMW29VVVV2LJlC7Zs2QIA2L17N7Zs2WIELc+bNw8zZsxo9bvnn38eubm5OPXUU1t9d8cdd2Dt2rXYs2cPPv74Y/z4xz+G2+3G9OnThV4LEUJEF5iEHW484GAzdkxQeJA2WxI0GR9CHGyRaIumgCDLnYNdjjG94s8++wyTJ082Ps+dq6+jMnPmTCxbtgzFxcWtZnCVl5fjtddew1NPPRX2mPv378f06dNx9OhR9OnTB2effTY++eQT9OnTR9yFEK2J6AJr6Xwl7HrVJWBfTIqUg6bdKDxIyzjz3YLC7kWR6K5LzjBmS/ygrMRUAZo0aVKbwVzLli1rtS01NRU1NTURf7N8+XIeRSO6AmMw1Js2XGA0kHLEhmRmVFsmFA6C9ptjgGR0gSmsXIpEiOLKTAd1oMKpXusl5MfcqYYMEI1+BsYoloQ7gl0yAVJcrShspTDnAZJSrVVYtiIx1xu3NmjOA6WgMt9VnHfFhHjMTxVhEiEau8n49Kkqgp+a/YyB5n+ZUNhKoQdBB5GwDSocYC4SIcHrzKQAOVDhpDuM4I9FAYocAySl+V1VBC/OKWW+mFiicNA514U0RaBwgLlIdAsQZywWIOfJW73WS8hPGwqQeSonDakcCYgdNKyxW4TK2XPNlgQp65KWwgiL3/LAyMsFZsrq7sBZYKQAEfxpQwFq9AtoxIR4CxCjNdwsKBwEbUmEyCS0Bjl4cc62EOMCoyBoguBLOxYgQgCC3QbmNaNcGtWhyoG6TSJcKTxRWLYi0V2XFATNE+ddMSGeNmOAzE+fNpYp3rEhCJqqy4TiQdAGMlYqBUGHxRy8zq3azJZjzXkWXrrDCP5EGwQtZe+rKBQEbS8KWynknwZPQdDhsGbw5oTCijwPSAEi+NOWC8xPsSRCCIgdkEkBCkFhK4V5VXEpczo5fFCOhJ+ZcqjxngbvUGVTvdZLyE8biRCbaCAVg+B09v4AKa4WlA+CDiJhe3T4oBwJSxA07xgghyqb6rVeQn7aSIRIeYAEYbgNbHKBOb3uFHbTSP8Q4vBBORLmPEDcg6AdOuOOFCCCP4YCpIVRgCgPkBBsDoJ2fBZvhQfpQEDytcDIAhQWswWIexC0ggk9eeDMqybEEsE9wBizesdk7HxVRXAQdEB2q4HdKDxISz8NXuH4KpEETP0lt75TYUWeB3SHEfyJoADRGCoQwUHQoW4Tx1uABMdciURIQj2eONwtEwlLChFeKqzCijwPSAEi+NOGBQgQYMYlTBYgCoK2BYWtFAERSyrwxOGDciQCAQHB62QBIgjORFKAWu9oR2niH/OAZtc0eBktB3YiOOhcJE2yK7MKz7ATSZMpEzQ3HC5rZ141IZaIFqAYlMUJ2JDOPnQtMMdXpcJPzkIsCTxx+KAcCXMGb36r0TQfyIFZoAFSgAgRRLQAhbjAJOx7lYSJV4CorkJQ2E3D0KLM0kQElRARAxT8hxQgguBDsFNt1wJEnS8XzBYgYQNyaBC0hKuI24nCQdDWdihhGzQK6MxBORKMQZzlzqGiJgWI4I8xOFhbVSsFiJ4++dDG0iPcTsGkHCpjh8JB0Hqzk3nEc7ZbJhIMEBC75exWrV7rJeSnHRcYwRuzXMUMGq2Nd06vS3UHaQbJY4AM1JOtSMypJ7hlgna4tY0UIII/FARtL5bskiI7MgqCbo2aA0dwUU0pY4BkLJMkGJLhLSMFFXkekAJE8KedafCUB0gkgixAVFlWFBaI7s7kHEzLFXWtayKxusB41ZuM9W8fpAAR/GknEaJpg00FinfEyzG07hyfCVrhQdrpNacqVsWV40EBqGrJ7CqkABH8oaUw7MUGF5iYAEyFUXngkD2g3fHKdXgskX6UB4gLpAAR/ImUyIwF35zZ2OyBZGsriorbyAMksyrk0EG5LcQFrztT1qQAEfyJlAcotNHSkx4n7HCBhX52eB4gmRWHdjAnQpSyDapsXROI7nbmnQhRwvq3EVKACP60kwfI2U1OALa4wCiJgQWFM+gqM+aRBagVwvIAOVTWpAAR/IlyMVQaUkVgT0dGQdBqDxxy5wGSsUySwb39qXkfdxVSgAj+RDsLjDo6/ogakEXMQIkL1JOJ3urIBaYa5mzs3CQjY/3bCClABH/amQXWEn9gY5niGRs6MaqqEBQeOBgzLYYqc80qal0ThSV2i3ceIIfKmhQggj9RL4UhceerFDYshUHruIWg7sDBIvwvD3KWKtaITWCp3n3MA1KACP60Mw2e4IxNS2GQC8yE4m6altJL2CgVl61IuNeWwx9kSAEi+BPlUhiEAATOArN8dnjHqTIWS4KU1aiudU0klucccoFxgRQggj/RLoZKgygn7MkDRLVlRt2Bg0H2WWBB1JOtSITkb3K4tS2mCtCHH36Iiy++GDk5OdA0DStXrmxz/8LCQmia1upVUlJi2W/x4sUYMGAAEhMTkZubi40bNwq8CqIVwUblCg2C1rfL3OUqCQVB24/KA4fpfpHbBUaYMVvuuNebgoo8D2KqAFVXV2P06NFYvHhxh363fft2FBcXG6+MjAzjuxUrVmDu3LlYsGABNm/ejNGjRyM/Px+HDh3iXXwiElHnASL4YP9gTJmgm1F04JDbDa2udU09nN0Le2J58qlTp2Lq1Kkd/l1GRgbS0tLCfvf4449j9uzZmDVrFgBgyZIlePvtt7F06VL8+te/7kpxiWgxBsfQTNCh6ynYUxzHIDIAmjHJB027UffmtSxsK7W1he43M0JqSmVLJgeUjAEaM2YMsrOzcf755+N///ufsb2hoQGbNm1CXl6esc3lciEvLw/r16+PRVEdSoS1wFotHyBz56sQMXCBOT4IWuGBQ/p4LqffW5Ewu8B4y8ih1jalFKDs7GwsWbIEr732Gl577TX07dsXkyZNwubNmwEAR44cgd/vR2ZmpuV3mZmZreKEzNTX16OiosLyIrpA1I2TOjo+2DMYkwXITHy4aTTI6MqMD9mKQFgiRIcSUxdYRxk6dCiGDh1qfJ4wYQK+++47PPHEE/j73//e6eMuWrQICxcu5FFEAkCkDowe7AQjcsCguouAeoO0ntJA4nIrbF0TiZAFiR0ua6UsQOE488wzsWvXLgBAeno63G43SktLLfuUlpYiKysr4jHmzZuH8vJy47Vv3z6hZXYO1kbVMgtM304KESds6MQoD1AICl+//HmAiHBYbzneeYA4HU4xlFeAtmzZguzsbACA1+vFuHHjUFBQYHwfCARQUFCA8ePHRzyGz+dDSkqK5UV0gQiDQ+hWKafgKok9eYAIM+q6aayLaspYserKViSW4HVaCoMLMXWBVVVVGdYbANi9eze2bNmCXr16oV+/fpg3bx4OHDiAF198EQDw5JNPYuDAgRgxYgTq6urw17/+FR988AHee+894xhz587FzJkzcfrpp+PMM8/Ek08+ierqamNWGGEHkVxgMna2cQCzf8BwfF3GjetAwnqMG9nyh3sQtITVbycxVYA+++wzTJ482fg8d+5cAMDMmTOxbNkyFBcXo6ioyPi+oaEBt99+Ow4cOIDk5GSMGjUK77//vuUYV155JQ4fPoz58+ejpKQEY8aMwapVq1oFRhN2EKIARXgneCFyGjwFQccLVkuCxJAFyIKeioL7UfU3h8o6pgrQpEmT2nySXLZsmeXzXXfdhbvuuqvd486ZMwdz5szpavGIzhLJBUZLYQiCMkHbj7oDhzWnk4w1K2OZYo9Yqah3H/NA+RggQkbadoEZQdC2limOIReY/SjupjFqT8Z6VFy2IuGuuMpY/zZCChAhkPAuMONbhzc+ftgwC4wyQYegrgXIjJxB0M2oLVru0Grw/CEFiOBPtC4wgi8il8II/UyVqSzyx3PRvRUOsbFbMt8P4iAFiBBABBcYrKvBUzfHCTuWwpB9+QS7abWsizroCfUkLrfCshWKuZ1zmwXm7FZNChAhkPYyQTu78fGDYibsR90EcpZ2KOUA6Gy3TFsw1jwNnlxgXCAFiOAPucBig9BOLKTyqDKbUXvgkDoGSHHZ8obB3Ap5W4CcKWtSgAgBhH+qCF0KQ+q+VyXsWApD+rgRm1FYAZQ+D5DCshWJ0CVMyAJEEHZDHZ0qtPZeyriKuJ2o6zpQJg+QgrIViZjYLRnr3z5IASL4044LTO7OV0FsygMktdXAbhR3HbBW/8iImrK1A26uS8Xv465CChAhjgizwMxbCJ6IdYERYVDQSmGuSiljgOhmC4t1JiZnGSl4H/OAFCBCIO3NAiP4IH5GUqjySnmAFL5+6eO5yAUWDnMMEPdZYA6FFCCCPxEGx5Yg6DZ3IzqKTYKk6jKhsOvAGgQtYa0qLFvxcJaJw2VNChAhgEiJEAkx2DMLLNwZnYvaVgphrhSeKCpbUbA2PnX5qA6VNSlAhEDIBWYrNi6FQZWpLmb3pZzr8clYptijz97T0biLiBQgguBDxE41xFJB/RwfbFkKQ/LlE+xGYdcBucDUhVaD5wspQIQAIrjAaCkMQdg/YDDKA6S/Keg6UGbMU1C2ohGWB8ihsiYFiOBPhCc4Vfpd5bApDxA9kZtQ3ErRMptIRqinCIfYNdzkvBNEQwoQYRuBQOhSGNTR8YXyABHtY01pIGHFKq5cioKZao5/IkRnQgoQIQCaBWYvNsQAUR6gENR1HTAGY1VxqQdABWUrEut6fDQLjAekABH8ieQCM5bCCL5L3PmqhG0uMMJAcSuF3C1P7tLFEpqIwBdSgAhxtLcUhsxPn0piYx4gx9edukqnmIzCHFFcuRSFkJpyeDsmBYgQQIRG5ey2JhDxg3GwnwwwGpTiCxkbpbrKpUgseYBoLTAukAJE8CfCE1wgZDV4GbteJXH4U1xMUNhKYc7pJKUFyEA92Yqnud54tXmF72MekAJEiKMdF5gzm5wIbFgKI4otzkJtK4XU6/FJWajYw2COn+SMovdxVyEFiBBA+OZJ/ZpghLrArAvZEkHUGzjMmaDlLL3ayqUwTI2PVoPnAylABH/aSYRouMCc3fb4YYMZu1VVBRxeeQpfvrXdyXwhpACZsS5hwuug5AIjCDG0WgojtLOVufNVCfvkSNNwg6hrpdBd0RLHAElYJFkQFrul4H3MA1KACAG07QJj7exHdBA78gBRVVlR3Hwpd+mD93NsSyEb5llg/O4/ue8E0ZACRPAnogssNAja2Y2PPyJdYKzNz4Q6WDIKy6jIOdwtEwlygfGHFCBCHO2uBk/wwb48QOQCC6KyCyzyJ6lQULYiMfef3IOgHSprUoAIAbTnAqMgaK7EQI6OzwSt+JOzErPAiFbwXwssiJx3gmhIASL4E2FwpFwyorBvFhjVWBB1n5x1F5jEKK5cisK6Gjyvg0p9JwiHFCBCHO3OAiO4IjIGmqoujmACLQkcUVC5FIneBnnLRF1FngekABECCN+phi6FQXAiBtoJYwHbzykVClspLLeLlJqtjGWSDXKB8YAUIII/EQeHVkuK21EaB2CHCyyYCdqZHWVr1H5ylnotMIWVS5GYZ+/xXwvMmcRUAfrwww9x8cUXIycnB5qmYeXKlW3u//rrr+P8889Hnz59kJKSgvHjx+Pdd9+17HPfffdB0zTLa9iwYQKvgohIO7PAnN30BGDDLLCWzw6vPYUHafOaUnKitnIpEv451Jwt65gqQNXV1Rg9ejQWL14c1f4ffvghzj//fLzzzjvYtGkTJk+ejIsvvhiff/65Zb8RI0aguLjYeK1bt05E8YkO0qrJyt0Lq4OtS2E4s6OMJ3TlVYEYILrXLOiJEEXJxJmy9sTy5FOnTsXUqVOj3v/JJ5+0fP7973+PN998E//+978xduxYY7vH40FWVhavYhIdJVIiRJn7WqUhwdqPuk/O5rtFytJTR9Eu3FyXDpe10jFAgUAAlZWV6NWrl2X7zp07kZOTg0GDBuHqq69GUVFRjErocEIGh4CxorgKT58KYctSGBS/ZUFhFxhganlS1qO6yqVIhGSCdrisY2oB6ip/+MMfUFVVhSuuuMLYlpubi2XLlmHo0KEoLi7GwoULMXHiRGzbtg09evQIe5z6+nrU19cbnysqKoSXPb6hPECxgfIAEe1jCaaVukadOShHwpy/ib8FyJmyVlYBeumll7Bw4UK8+eabyMjIMLabXWqjRo1Cbm4u+vfvj1deeQXXXXdd2GMtWrQICxcuFF5mxxDRBSZzZ6sy9i2F0fLZ4dPgFX5yFhtLwgHqJ8LCTPmbuItIwfuYB0q6wJYvX47rr78er7zyCvLy8trcNy0tDSeffDJ27doVcZ958+ahvLzceO3bt493kZ1JhEbFGC2FwRUbBSn1wGkncfPkLGMjVFe5tAvua4E5FOUUoJdffhmzZs3Cyy+/jIsuuqjd/auqqvDdd98hOzs74j4+nw8pKSmWF9EV2l4LLIjc5neVsGEWGGmrIag7SJunwctderlLZzdm1yU3xcXh7TqmLrCqqiqLZWb37t3YsmULevXqhX79+mHevHk4cOAAXnzxRQC622vmzJl46qmnkJubi5KSEgBAUlISUlNTAQB33HEHLr74YvTv3x8HDx7EggUL4Ha7MX36dPsv0KlEcoGpsw61moh0gYV+pspTFxEDKU/o5gqL0Nl7CiryPIipBeizzz7D2LFjjSnsc+fOxdixYzF//nwAQHFxsWUG17PPPoumpibcfPPNyM7ONl633HKLsc/+/fsxffp0DB06FFdccQV69+6NTz75BH369LH34hxN+KfjQMDyLaTsfFXERncMucCaUdgFJmY2EU/Uta6JRtgsMIcSUwvQpEmT2jStL1u2zPK5sLCw3WMuX768i6Ui+BFqASLEIF6y9FAeSnwM0tyWVOCJwsqlSKxVRbPAeKBcDBChABE61aCyazzFSNj3Ko2NLjDSiIKoN3Dos8CMTzEsSTsorlzyhxkTSLjHTzpU1qQAEQII/3RMeYAEYcdSGIbySqiOXocSxwBJWabYY84DJGAePOfjqQEpQIRAQhoV9WuCsF+wjp4VZr52BZ+crbOJJMQQr8RljBEtCSx5HdDB7RikABEiiNCoAmRFEIMdS2EET0WDUsj9rbY8pC69gsqlSMzpC/geFY6VNSlAhACidIE5/OmDP/Zlgna2Gqu4BQiyxwDJWKbYY7W6kguMB6QAEQKh1eDtwYalMFpnAhJ2LkIslrXAZGyUMpZJAszpC2g1eD6QAkTwJ9IsMITOAnN24+MGLYVhL4rft5QHSF34L2LrbFmTAkQIIIILTO1xQ2LsmAUW8jng5MpU2wVmReZ6VF22fDG3Qf615kxZkwJECKTtGCCZu14lEdiHsZB3QmGYWWWWsEbpSSks5hggcoHxgRQggj9RJkKUsvNVkRhMG3Z0zSk+C0wPgpa53M52y7RFS+wWvyPqB3SmrEkBIgQQbFQhWx09aookFkthOLky1XeBya0ABVGhjPZhjd2ipTB4QAoQIZDQGCAW8tnOssQxNuQBYjbEGSmD6hYgs/4mYyOUsUwywAQ+diiqyHcVUoAI/kScBdb+FqIr2JgHiAWEnYsQC2vjkxw42y0TCavazXkWmEMhBYgQAM0Csxc7M0ETqrvA9MVQJS63w90ybcG93hwua1KACIFYG1UgJAiaNCJOxECOjq45xV1gZqSeiKCgcikSoZmgHSprUoAI/kjcp8Y34leDN20Qdi75UdwCBIAxmcvt5HsrMmISWDpb1qQAEQKIzgXm7KbHExuCoFnwTDIPnEQ0WNuhhK3Q4W6ZSFiC17kf1JmyJgWIEEhoIkTKAySEGHRizjYAqe8CU6L6FLSuiYa1+ofTER0qa1KACP5ETIRoczkcgw15gIx3Z3aUVtR2gckPdRThsC5ITKvB88DTkZ3Lysrwxhtv4KOPPsLevXtRU1ODPn36YOzYscjPz8eECRNElZNQiggusNB36uf4YoMLjIDSwgjNxi4lDnfLRIIxkbPAnElUFqCDBw/i+uuvR3Z2Nn73u9+htrYWY8aMwXnnnYcTTzwRa9aswfnnn4/hw4djxYoVostMKEP4WWAtOLvxcSMWAwblAWpGrUE6tAlKmQgxCFnXIsJ/NXhOh1OMqCxAY8eOxcyZM7Fp0yYMHz487D61tbVYuXIlnnzySezbtw933HEH14ISCkEuMJuxwwUWtBwQ8eACk7se5S5drNClIup+U/M+7ipRKUBff/01evfu3eY+SUlJmD59OqZPn46jR49yKRyhKm0H1nFfz8bp2LEURivjnYPrTuEgaCWysZMLLDwiZu85uR0jShdY79698Z///AeBQHRm7/aUJSLOidCBtcolQ3BG5FpgwXcalKRUGqJEiRggh89MigQLCYPmdVQAjpV11LPALr30UvTt2xe/+c1vsGvXLpFlIuIUCgEShbM7sZiimMxDm5zcqSjUkq2d8JeMM2UdtQK0e/du/N///R+WL1+OoUOH4pxzzsHf//531NbWiiwfoSThB+RASDI9uTtfhbDDshZyDhHPosqgsAssiNwWICIcYmaB8T2cakStAPXt2xfz58/Hd999h/fffx8DBgzATTfdhOzsbNx444349NNPRZaTUIlILjCntzZhiI+ZIBdYBFSzAMneBC3pjtWSrWhCH0G4HtWhsu5UIsTJkyfjhRdeQHFxMR599FFs3boVZ511FkaPHs27fITK0FIY9mJjEDTFc6mJWg8hzhyUI8EYo9XgOdOhRIih9OjRA+eddx727t2Lb7/9Fl9//TWvchFKE2EafOi3NIjywcZOjGoMSrvAWuUBkq1GqU+ICu75m8gCFD21tbV48cUXMWnSJAwZMgTLly/H3LlzsWfPHs7FI5Qk0oBMnZsg7MsDRADxkQdI1nKrL1tRMIho6c5u1x2yAH3yySdYunQpXnnlFTQ0NOAnP/kJ3n//fUyePFlU+QiVibgUBnVsQrA1D5CDM0HHU5yKbA8lspVHIhiD6ZmSdx4gxe/jThK1AjR8+HBs374dY8eOxaJFi/Czn/0MqampIstGKEv4xklLYQjC1kHD2lH6/X40NjbaeH4JqG8EuvfV/6+rs/XUCQkJcLvdnf598FZR4iFEdeVSAMLqzaGyjloBysvLw8svv0yBzkT7REyEGLKbPaVxDiItQCGfAwGguLgYZWVlws4pLQE/8IPH9P9377b99GlpacjKyoLGob6liwGSrjxywq+lO1veUStATz/9tMhyEPFIBBdYsPlKvRCjStggx9BT1Pk1NJSVISMjA8nJyVwGY2XwNwJHG/T/MwbadlrGGGpqanDo0CEAQHZ2dsePIfuabgoHmItEWAZvcoG1z5QpU3DffffhrLPOanO/yspK/OlPf0L37t1x8803cykgoSK0GGpsEGkBaumA/Z5k+JGArIwMZy5743cDnmZZJybaeuqkpCQAwKFDh5CRkdFhd1jrNihxo3SSUt0O4uqN8gC1y+WXX47LLrsMw4cPx913341XX30V//vf/7Bp0ya8//77ePrpp3HFFVcgOzsbmzdvxsUXXxzVyT/88ENcfPHFyMnJgaZpWLlyZbu/KSwsxGmnnQafz4fBgwdj2bJlrfZZvHgxBgwYgMTEROTm5mLjxo1RlYfgRKTV4AWl8iLs7cQafb0ATUNycrIt5yOsBOXemdgr+SciUK/QFuKkI+v9IJaoFKDrrrsO33//Pe655x58/fXXuOGGGzBx4kScccYZyM/Px3PPPYd+/frh008/xYoVK9CvX7+oTl5dXY3Ro0dj8eLFUe2/e/duXHTRRZg8eTK2bNmCW2+9Fddffz3effddY58VK1Zg7ty5WLBgATZv3ozRo0cjPz/fMBsTNhIhESLlAeKMLUthmN6a69VRbi8Lsb1vecpduhokF1hYhK3h5vA+OOoYIJ/Ph5///Of4+c9/DgAoLy9HbW0tevfujYSEhE6dfOrUqZg6dWrU+y9ZsgQDBw7EY4/pAYinnHIK1q1bhyeeeAL5+fkAgMcffxyzZ8/GrFmzjN+8/fbbWLp0KX796193qpxER4nkAnN2YxOHfUthEGbUG6DlXw0+jlIMcERcvZELrFOkpqYiKyur08pPZ1i/fj3y8vIs2/Lz87F+/XoAQENDAzZt2mTZx+VyIS8vz9iHsIF2ZoHJ2/kqjtA8QKQCGSgsitahJApfjIMQZgEyHdGJdFoBigUlJSXIzMy0bMvMzERFRQVqa2tx5MgR+P3+sPuUlJREPG59fT0qKiosL4IDEWeBRd5CdAJbl8JQu6OcNGkSbr311lbbly1bhrS0NADAfffdB03ToGkaPB4PBgwYgNtuuw1VVVX2FlYArdzQskEuMHtxuAKslAIkikWLFiE1NdV49e3bN9ZFiksoD6Io7J8GH++MGDECxcXF2LNnDx5++GE8++yzuP3222NdLO5InQfIoW6ZcIhrf+QCU4asrCyUlpZatpWWliIlJQVJSUlIT0+H2+0Ou09WVlbE486bNw/l5eXGa9++fULKH47/7TqCwu1xFqAdwSIRaOXHlq3zVRwbEiGqbgGKFo/Hg6ysLJx44om48sorcfXVV+Ott96KdbG6jlJuaBXKaA/mNBRicKasu7QavN2MHz8e77zzjmXb6tWrMX78eACA1+vFuHHjUFBQgEsvvRQAEAgEUFBQgDlz5kQ8rs/ng8/nE1buSOw7VoMZSzfCH2B451cTMTwnxfYyCMWhTxW2I0EyM8YYahv9tp83KcFty2y0pKQkNDQ0CD+PaKRf1NZppsZOwi2JrMPl3SkFqKysDP/617/w3Xff4c4770SvXr2wefNmZGZm4oQTToj6OFVVVdi1a5fxeffu3diyZQt69eqFfv36Yd68eThw4ABefPFFAMCNN96IP/7xj7jrrrvwi1/8Ah988AFeeeUVvP3228Yx5s6di5kzZ+L000/HmWeeiSeffBLV1dXGrDCZWPbxHvgD+g340c7DcaQARTcLzNlNjyfizdjtJWKrbfRj+Px3Q3cSztf35yPZK/Y5btOmTXjppZdw7rnnNm+JpztXtmshF1g4xE0gcbYLrMM9x5dffom8vDykpqZiz549mD17Nnr16oXXX38dRUVFhrISDZ999pllJfm5c+cCAGbOnIlly5ahuLgYRUVFxvcDBw7E22+/jdtuuw1PPfUUTjzxRPz1r381psADwJVXXonDhw9j/vz5KCkpwZgxY7Bq1apWgdGxoLKyHE1NfvRMTcOXByvw90/2QkMAXjThaLX6T5cGkWaBhbzLF3+gKHYshSH7Egqc2bp1K7p37w6/34+GhgZcdNFF+OMf/xiyl3qDRsutokLZVShjrCALEA86rADNnTsX1157LR555BH06NHD2H7hhRfiZz/7WYeONWnSpDan14bL8jxp0iR8/vnnbR53zpw5bbq8YsXOt5/Gad/+AQFoGMgSscadjHR3OTzwY/P284Hz/w4k2JtaXygREiESorDTAmQlKcGNr+/Pb3snASQldGwpiJSUFJSXl7faXlZWhtTUVOPz0KFD8dZbb8Hj8SAnJwder7fLZZWB1tOpJYM6ibAIn71HFqDo+PTTT/GXv/yl1fYTTjihzanmBFBfVQYAcIGhh1aLHqg1vjuj/D1gwxLg7FtjUziuRLcUhmPMCcKxz4wdyQSvaZpwVxQPhg4divfee6/V9s2bN+Pkk082Pnu9XgwePLjtgyk4ZrQk1JMVcoFFAz/rubx3gh10uMfy+Xxh8+Ts2LEDffr04VKoeGX8dX9AVfUC7NpXjK9278eY3gzlnt7Y8PozuC3hNWDv/+JDAYo4C6z5a5oFxhcJgqBV4aabbsIf//hH/OpXv8L1118Pn8+Ht99+Gy+//DL+/e9/x7p4MUCyNkh5gMIibBaYw/uODk+DnzZtGu6//35jIT5N01BUVIS7774bl112GfcCxhWahu7dUzDmlKG4+sLzMCI3D55e/fFRYKT+fcm22JaPN+QCswk78gDFR+UNGjQIH374Ib799lvk5eUhNzcXr7zyCl599VVMmTIl1sUTjlLpDMgCZBDa/LhLxqGy7rAC9Nhjj6GqqgoZGRmora3FOeecg8GDB6NHjx548MEHRZQxrkn2unEUzbO/6itjWxhuRBosQ83v8TGoSgPlAYqKM844A++99x4OHTqEsrIyfPLJJ0baDEDPBL1ly5Y2jqDufdt6IJXtWmQrjxzEU/uTiQ67wFJTU7F69WqsW7cOX375JaqqqnDaaae1WqOLiI5krxu1rDkHUWON3kOpro23sxYYwRmHm7GJ6BGfUK+LkAvMXhzed3Q6avHss8/G2WefzbMsjiTZ60EdmheUZX7A3wh4VJ9xEj4oN1QBIoWIF7HIA0TEw6DBLaGeCFR/EORIaxc05yBoh8q6wwrQ008/HXa7pmlITEzE4MGD8cMf/hBud8empzqVZJ8bdTBloW6qjQMFKEjbS2HIZ35XFBvzABFQ20sTMp1a5UtxEuLrixSgqHjiiSdw+PBh1NTUoGfPngCA48ePIzk5Gd27d8ehQ4cwaNAgrFmzhhYVjYLkBDca4IGfaXBrDGisBRJT2/+hzEQYkKmzJYjYolYeIOlKJw3cHh5ltgDaQIeDoH//+9/jjDPOwM6dO3H06FEcPXoUO3bsQG5uLp566ikUFRUhKysLt912m4jyxh0etwtejxt1aLb6NNbEtkBcIBeYvdjnAmOMBiWVEbekAi8oD1A4aCkMMXTYAvTb3/4Wr732Gk466SRj2+DBg/GHP/wBl112Gb7//ns88sgjNCW+AyR73aj1+9AN9UBjXayLw5HQpTBCA+5IA+KCLS6w9jYQKiK1G9qhg3JYhDdAZ8q6wxag4uJiNDU1tdre1NRkZILOyclBZWW8TOkWT6LFAlTb9s4qEGlAlrivjQ9ssAAJOwNhB2rNAiOCtH545HVgZ8u7wwrQ5MmT8X//93+W9bg+//xz3HTTTcZqyVu3bsXAgQP5lTLO8XpcqGMOcIGFvNNwyhl6YrYJde/b1uOdbNciW3nkhF9Ld7YLrMMK0PPPP49evXph3Lhx8Pl88Pl8OP3009GrVy88//zzAIDu3bvjscce417YeMXrcbVYgJri1wUWcPjThjBsyeVBdRdPyF+bzhyQI9ESgyfqDM6Ud4djgLKysrB69Wp8++232LFjBwB9gcGhQ4ca+0yePJlfCR2A1+1CY7Aq/A2xLQwPIs0CE5XKwvHYsRRG8EzO7CjDouBTc+tZYJI1QnpICkureuMlJ4fLu8MWoCDDhg3DtGnTMG3aNIvyQ3QcX4ILjWjOm+RvjG1huBA0q4bdSouhikLBAdlurr32WmiaBk3TkJCQgMzMTJx//vlYunQpAoGAZd+PP/4YF154IXr27InExESMHDkSjz/+OPx+v7HPWWedhRtvvNHyuyVLlkDTNCxbtqzVuSdOnAgAKCwshKZpGDFihOV4AJCWltbqt7xgIbm45MPZLplIiKs3Z8u7U5mg9+/fj7feegtFRUVoaLBaLB5//HEuBXMSXrcLjay5KgKtA8zVJXQaPCk8QrDBBRZPFqApU6bgb3/7G/x+P0pLS7Fq1Srccsst+Ne//oW33noLHo8Hb7zxBq644grMmjULa9asQVpaGt5//33cddddWP+/dXjl6d9Cg27tfuONNyzHD+ZAKywsxLXXXmtsLywsxMyZMy37fv/993jxxRcxa9YsG65cpQd+9e8zsfC2ADlT3h1WgAoKCjBt2jQMGjQI3377LU499VTs2bMHjDGcdtppIsoY93g9LjQZFqA4doHZXAznYEMeoDiqPZ/Ph6ysLADACSecgNNOOw1nnXUWzjvvPCxbtgzTp0/H7NmzMW3aNDz77LPG766//npkZmZi2rRpeCXvTFz544swefJkPPTQQygpKTGOuXbtWsyfPx+PPPKI8dvdu3dj7969rcID/t//+39YsGABfvazn8Hn88HxqKOh2YrwxVAdagHqsAts3rx5uOOOO7B161YkJibitddew759+3DOOefg8ssvF1HGuMfn0bNBA4gzF1h4CxC5wDhjRx6g9mYPMQY0VNv/4nTt5557LkaPHo3XX38d7733Ho4ePYo77rij1X4XX3wxTh4yBC+/+S4A4Ac/+AESEhKwZs0aAMDXX3+N2tpaXHfddTh69Ch2794NQLcKJSYmYvz48Zbj3XrrrWhqasIzzzzD5To6inxrgTnbJROJ0GriPgvMoXTYAvTNN9/g5Zdf1n/s8aC2thbdu3fH/fffj0suuQQ33XQT90LGO754swAZ0Grw9iJ+0IhYhY01wO9zhJ+/FfccBLzduBxq2LBh+PLLL43JHaecckr4/YaejB3bvwYAdOvWDWeeeSYKCwsxffp0FBYW4uyzz4bP58OECRNQWFiIgQMHorCwEOPHj29l5UlOTsaCBQtwzz33YPbs2UhNFbsMjvSuTIe7ZNqDexfqcHl32ALUrVs3I+4nOzsb3333nfHdkSNH+JXMQXg9pllg8RADFCkPYqtZYKQR8cEOF1j8wxiDZpJhtDFrkyZNQmFhIQA9zmfSpEkAgHPOOceyPdLs2Ouuuw69e/fGww8/3OmyR0trV6akNUsWIAuh9cZ99p5D5d1hC9BZZ52FdevW4ZRTTsGFF16I22+/HVu3bsXrr7+Os846S0QZ4x6fxzwLLB4sQJESITLztwQvbAyCjkhCsm6NsZuEZG6H+uabbzBw4ECcfPLJxucJEya03u/b7Rh+Un8E5T158mQ8+OCDOHDgAAoLCw3X2TnnnIO//OUv+O6777Bv3z4jUWwoHo8HDz74IK699lrMmTOH2/W0hbQWIOodwiN6LTCH0mEF6PHHH0dVVRUAYOHChaiqqsKKFSswZMgQmgHWSbweF5pYPMUABSEXmD3YsRZYO9NwNY2bKyoWfPDBB9i6dStuu+02XHDBBejVqxcee+yxVgrQW2+9hZ27duGBudcZ2yZMmACv14s//elPqKurw7hx4wAAZ5xxBg4fPoylS5carrJIXH755Xj00UexcOFCMRfYTOtYEskapcNdMpFoXUs0C4wHHVaABg0aZPzfrVs3LFmyhGuBnIieCDGO8gC1MwuMgqAF4VAzdkepr69HSUmJZRr8okWL8KMf/QgzZsyA2+3GX/7yF1x11VW44YYbMGfOHKSkpKCgoAB33nknfvqTH+OKaRcYx0tKSsJZZ52FZ555Bj/4wQ/gdutt2ev1WrYnJCS0Wa6HHnoI+fn5Qq+99XI0kkL3cljE5QHifFhF6HAM0KBBg3D06NFW28vKyizKERE9yV63KQYoDhSgdmaBEZyx4ylO9uDZDrBq1SpkZ2djwIABmDJlCtasWYOnn34ab775pqG8/PSnP8WaNWtQVFSEiRMnYujQoXjiiSfwm9/8Bsv/8YIlVgjQ3WCVlZVG/E+Qc845B5WVlVFlxz/33HNx7rnnhl1sWhTy1Sb1EeEQb7mT706wgw5bgPbs2dMqcymgP1UdOHCAS6GcRrLPY1oKIx4UoCDtuMCor+MEBUFHy7Jly6LOsjxx4kSsWrWq9RcNrRcsvu+++3Dfffe12r5gwQIsWLCg1fZJkyaFfSB49913oypbZ5E+E7TDXTKREBY/6fCH0qgVoLfeesv4/91337VM1/T7/SgoKMCAAQO4Fs4pdPO6UUkuMIJQBHXvW2GxJNygPEDhEKenOFveUStAl156KQBA07RW6dwTEhIwYMAAWgG+kyR7PThGLjCis9gyC4zqLh6QPg+QgezlixWi5OJMeUetAAUXChw4cCA+/fRTpKenCyuU0+jmc6ORxdM0+CCh0+BDoUGVD+QCiwlx8NQs7ywwwkyr1eC5zQLjcxhV6XAMUDC1O8GPZK85BigeEiGGb1UB4+mT4IqNS2HIbzkg2kb2XFzOdslEglaDF0NUCtDTTz8d9QF/9atfdbowTqWbzx1nS2FE5wKjhz3eOLMTI6Kn3TXdpIHu5bZw0SwwLkSlAD3xxBNRHUzTNFKAOkGy19OyGGpcxAAFidSotOa/sna+qiE+l4elppg+J4XigmJDV+QufFXxrkL3VFiYKOu5w+UdlQJEbi+xdPN6TBag+HWBMfHjtDOxMQiaQUNC/TGwQAA1NTVISkoSdk4iPDU1+jT89hIrRoO0bdGhLhn7IRdYpwl2iqFJwYiOkexrSYTI/A3ydkpR0/ZaYCF7EV3GjqUwWnA31UBrqMKhQ4cA6CuaO6oPaKgHmhgQCAB1dbadljGGmpoaHDp0CGlpaUbSxo4dI/ifpPVFeYDCIiwGz+Hy7pQC9OKLL+LRRx/Fzp07AQAnn3wy7rzzTlxzzTVcC+cUunk9xiywQFMDOt6tSUaERtWyudkF5nDzK3dsUEKCNeb2VyMtLc1QghxFUz1QdRhweYDKLj1Ddoq0tDRkZWV16retVhWXtQ06czzuGIzxa/NOeoAx0anFUO+9917MmTMHP/jBDwAA69atw4033ogjR47gtttu417IeCcxwYUmTa8KfzwoQEFCGlVA1s5Wdex4qg8TPJudnY2MjAw0NsZT3FoUHPwCePd2IOVEYMZKW0+dkJDQKctPKPI2RWkLFlOEZYJ2uLw7rAA988wz+POf/4wZM2YY26ZNm4YRI0bgvvvu65QCtHjxYjz66KMoKSnB6NGj8cwzz0RcOXnSpElYu3Ztq+0XXngh3n77bQDAtddeixdeeMHyfX5+fvi09hKgaRrcHi8A3QKkPm1Pg9eaB2pnNz2e2JEHKPw6Jm63m8uArBQeBlTtA7wJQGJirEvTIaSfBeZwl0wkwiqsPCxADpd3hxWg4uJiTJgwodX2CRMmoLi4uMMFWLFiBebOnYslS5YgNzcXTz75JPLz87F9+3ZkZGS02v/1119HQ0OLknD06FGMHj0al19+uWW/KVOm4G9/+5vx2efzdbhsduL2eAE/wOJBAYrQqAIB8QM1IRZpZw/ZicKDhjL5nKiPCIuwenOovDu8GvzgwYPxyiuvtNq+YsUKDBkypMMFePzxxzF79mzMmjULw4cPx5IlS5CcnIylS5eG3b9Xr17IysoyXqtXr0ZycnIrBcjn81n269mzZ4fLZifuBF1BY/GwFliQkEblb+59Xc5sa+KwZRZYexuchLrX3ioGKEbliIy6shVJ26sr8j+yU+iwBWjhwoW48sor8eGHHxoxQP/73/9QUFAQVjFqi4aGBmzatAnz5s0ztrlcLuTl5WH9+vVRHeP555/HVVddhW7dulm2FxYWIiMjAz179sS5556L3/3ud+jdu3eHymcnCd4EoC5eFKAILrCAadYgA8ACNpYpnrHBBaaK5cBOFH5qlrYeFbauiURYJmiHyztqC9C2bdsAAJdddhk2bNiA9PR0rFy5EitXrkR6ejo2btyIH//4xx06+ZEjR+D3+5GZmWnZnpmZiZKSknZ/v3HjRmzbtg3XX3+9ZfuUKVPw4osvoqCgAA8//DDWrl2LqVOnwu/3hz1OfX09KioqLC+7cSc0xxLEgwIUwTrgd3hjE4YdFiCHPylaUPg+Dm2a0iYjVVi5FEHYWuJihXV2WELUFqBRo0bhjDPOwPXXX4+rrroK//jHP0SWKyqef/55jBw5slXA9FVXXWX8P3LkSIwaNQonnXQSCgsLcd5557U6zqJFi7Bw4ULh5W0Lb4IeBB0fmaDDNyp/wLRZ0n6XiBJygSmNvFcgb8lkQJx0nKkARW0BWrt2LUaMGIHbb78d2dnZuPbaa/HRRx916eTp6elwu90oLS21bC8tLW03z0V1dTWWL1+O6667rt3zDBo0COnp6di1a1fY7+fNm4fy8nLjtW/fvugvghOe5iBtLa4sQO0FQVNnxwc7XWBES0pz9QYNmgWmJuGfNzjUnaMfZDqgAE2cOBFLly5FcXExnnnmGezevRvnnHMOTj75ZDz88MNRuaxC8Xq9GDduHAoKCoxtgUAABQUFGD9+fJu/ffXVV1FfX4+f//zn7Z5n//79OHr0KLKzs8N+7/P5kJKSYnnZjbc5CFqLCwtQMxQEbQ+2uMAinNLRqHsjSxsD5HCXTGREtXFny7vDs8C6deuGWbNmYe3atdixYwcuv/xyLF68GP369cO0adM6XIC5c+fiueeewwsvvIBvvvkGN910E6qrqzFr1iwAwIwZMyxB0kGef/55XHrppa0Cm6uqqnDnnXfik08+wZ49e1BQUIBLLrkEgwcPRn5+fofLZxderx4D5GJxsBZYBIwgaGk7X1WxYSkM2ZdQsBV1Bw11YrnUk61IIuYB4oYz5d2lPO6DBw/GPffcg/79+2PevHlGIsKOcOWVV+Lw4cOYP38+SkpKMGbMGKxatcoIjC4qKoLLZdXTtm/fjnXr1uG9995rdTy3240vv/wSL7zwAsrKypCTk4MLLrgADzzwgNS5gHyJegyQKx4sQBEsEv4Q14GmSl+sCrYOyA6uPIXNX9IHQSssWzsQNwvMmXRaAfrwww+xdOlSvPbaa3C5XLjiiiuiiscJx5w5czBnzpyw3xUWFrbaNnToUGNaYChJSUl49913O1WOWNJiAQo/U00tIgVBkwtMCLbETYTPBO1M1I9TIReYWgjPA+RQeXdIATp48CCWLVuGZcuWYdeuXZgwYQKefvppXHHFFa3y8BAdI7HZOuWGX19l2tVh76Q8tBME3bIUBuUB4gPlAYoJCg4aoUOmvFcgb8liAU1CEEPUCtDUqVPx/vvvIz09HTNmzMAvfvELDB06VGTZHEWieU2hQCPgktdd1z4RLEAKz56RmliYsZ1sOld4plJLQj1JcfJ91VF4yMrh8o5aAUpISMC//vUv/OhHP3Le4oc2kOgzKUD+RsCjsAIUKQbInAcIkLgXVhXxs8CoygCVpdC65LJdCz0khaNlNXiaBcaTqBWgt956S2Q5HE9SkknhUT4QOnyjCjDTUhjm/YguYocLzFpXVHNQfNCQtOwKW9dEIsxQ43B5KxxoEl8kmy1AAcUDoSNagGgavBBikAfI0aZzhQeN0GpzyarKKq1c8kdYIsQgDpU3KUCSkOzzoIk1V4fy2aAjWICMxVDtLg/BCwqCBlru79iWonOIcqXwQlKFTBLkrTc1IQVIErr5PGhCc2yV6i6wdvIAaaQBcca+WWCRNzgIhS9d+mpT2LomkrAJLLkGQTtT3qQASUI3r9tQgJhf9WzQbecBIgWIMzaMarKPm7FB3ftY+vqkPsKC8GnwDpU3KUCSYLYA1dbXxbg0XSRSHqCgBajVfkTXsC8ImmaDASrPnAkfSiJTbcpUFtnhmAjRoZACJAnJXjeamiflVVTXxrg0XaVtC5CKAwcRioM7TqkUho4hfUJLWnOuTcQtheFMeZMCJAmapoFpugVIeQUoTKNijCGo/7hoGjxfbOzEpB04bUXdQSPsEkJSKXQqB5iLQ9xiqM5+KCUFSCICLt0CVFmjuAIUplEFTG3VmU3NBmwNghZ2KnVQeNCQv/rUla0IxCVCDOJMeZMCJBEsqADFjQWoBb9FA3JmYxNGTMzY8g+hwlDYbSBuUU1OSGWNkh1aCqOrkAIkE80KUFWt4kHQQSwWoJaG5iIPmHK0fgJ1cuWpe+3SxwA53CUTCXF6irPlTQqQRGiuBABAteoKUJgnZKsFKHjbqTuQyEUM8gA5ue7ibVFfKa0AcSJbToifvedMeZMCJBGaR1eAalRXgJqba2V9y5Ie/nAWIIIPdiyFIToPiZKodyOLjyXpIlIqY7GnJQ2FqFlgzoQUIInQ3PFhATpSpZd/6f/2GNsCJgtQy4OzsxsfP+yXo7P7TYUtQKLXlOoyCsvWdmgWWFchBUgi3M0WINVjgErK9fJ/W1KJI1X1ACgI2hZEusCkGiRjjMLanzqJLKmPMNNSbzQLjCekAEmEz+cDABwprw6fr0MZWsy1H3xzCIB5HTDTavAqX6JM2OgCA9WdiTgZNGTqa2Qqi0QIywPkcHmTAiQRSb5EAEBjYwP2H1d3KnxTUwCAPkZuLjoOAAjom+A2WSk0GkU5YUMQdBRbnIO6boNWiqx0qCtbsViXouF9XKfK2xPrAhAtuNx6dSRofvxv1xFceUZf1Db6oUFDYoILFXVN2HWoEgPTu6NncgKqG/xo8uuahWFVCb6ZPgYXH23rFg//gBF91hDGgNKKOvg8LjQFAs2qtYbtpZUAgLpGPSDa5yGdW2WkDZ61E4WfmsO7MiW6HoVzLNkPTwuQM+VNCpBMNAdBe+DHr1/fivv+/RXqGnUFx+dxob7ZsgIACW4NjX6JOi4Tr3lbnla+3F+O4vJaVNbpK9z3SEww9pOz9ApiRyfG2vzoMNQdNOTPA9SMQy0SkRBebw6VNylAMtGcCPHElATgOAzlB4Ch/PTq5sWx6gYplZ/EBBcamgKGa+ukPj3wXinD+EUfYGhmDwBASpIHLQOHfNegJna4wKx1pSlsBeFGvAwaUtWlTGWRB3F5gJwtb1KAZKJZAfrlD/vjgkE/hM/jQu/uemB0SXkd+nT3ITU5AeU1jahuaELPZC+8HpcpR4T5SYFZ2od5uxbyFBFNPx5un9DjJLg1VNU3wfu3PwClwGkDegGl+ndBV1iPxATAH3okokvYuhgqobLbQPpZYArL1g5oNXi+kAIkE80uMBdrwsnNFpMggzO6G/+nJicgNTnB9K08N2+PxATAo5dn0tAMPNZvNG5/9QvT9x6gJjiTSNpumAghtKoYC4Tf0RGoe9+Gj+uT8HrixbrGCeFdpUPlTRGpMtG8FAb8jbEtR1dpbq0JbjcuG3cifnfpqcZXSQnuWJUqjrFvFpj0sSN2EAdLYchbjxIqYxJgtvJzPjL3I6oEKUAy4WpWDgKq+4isA8RVZ/RFencvAGBAerdYFSp+sSUPkLWjdHa3GURWJSIy4teU6iIOd8lEQli9yVT3MYBcYDLR7AJDID4sQMFOzON24dUbJ+DzouPIH5GFbd8ZO8aidHGI/RYJZw9PCluApJ8FprBsbYBmgfGFFCCZiBcXWJhObGB6Nwxstv7I2/kSkSAXmAmFn5qlzwNkQPeZmfC3nIz1phbkApMJwwXWFNtydJVozdjUfvlg61IYwc9UeSojbe3RfRWWFsWVZoHxhBQgmTBcYIorQIYFKPy3tBo8b9qWN8czUI2ZUdBtIGxNKW6QCywswurN2fImBUgm4sUF1s56Q+RGiQdkGjRtRuGnZmUyQUtfvnjDmfImBUgmmhMhxo8FyJmNynZsWQojJBO0kxUgha89+tX9YoRU1ih5EFZvDpc3KUAy4Q4qQKpbgNobkGkpDL5QHiBboTxAAhHvzlURs54SYDyFo/693BVIAZIJwwVGFiCiA7TjchRySoc/Oeqod3+HrTeZ6jIG97KycK03Z8pbCgVo8eLFGDBgABITE5Gbm4uNGzdG3HfZsmXQNM3ySkxMtOzDGMP8+fORnZ2NpKQk5OXlYefOnaIvo+vESxA0zQKLO9SJHbEDdW9cZUpOD08WzOkLuNahTMpvDIi5ArRixQrMnTsXCxYswObNmzF69Gjk5+fj0KFDEX+TkpKC4uJi47V3717L94888giefvppLFmyBBs2bEC3bt2Qn5+Puro60ZfTNVxx4gJrzwJEnRtn7F8N3tHEgQvMikx1K1NZ5EFcHqB4u5c7RswVoMcffxyzZ8/GrFmzMHz4cCxZsgTJyclYunRpxN9omoasrCzjlZmZaXzHGMOTTz6J3/72t7jkkkswatQovPjiizh48CBWrlxpwxV1gaACpLwLLEh7jYo6Oy7EIA+Q058cddQbNKS35Ck8w04kzPI/R9k4XN4xVYAaGhqwadMm5OXlGdtcLhfy8vKwfv36iL+rqqpC//790bdvX1xyySX46quvjO92796NkpISyzFTU1ORm5sb8Zj19fWoqKiwvGJC3LnA2t1RaDGcg7Of4uxHZXlLHgMUREnZ2gzPenOovGOqAB05cgR+v99iwQGAzMxMlJSUhP3N0KFDsXTpUrz55pv4xz/+gUAggAkTJmD//v0AYPyuI8dctGgRUlNTjVffvn27emmdwykuMIc+bahM60zQsSmHFCh88dJbgOihKCzm4HW+dedsecfcBdZRxo8fjxkzZmDMmDE455xz8Prrr6NPnz74y1/+0uljzps3D+Xl5cZr3759HEvcAQwXmOIKkMPNqrZjhwtM2JFVJN7ub4lql/qOsIjPA+RMecdUAUpPT4fb7UZpaalle2lpKbKysqI6RkJCAsaOHYtdu3YBgPG7jhzT5/MhJSXF8ooJ8eICi9JFoCn8JC0XNgRBN9cVoxxOLSjoNlBmSRMFZSsUFvZffjhU3jFVgLxeL8aNG4eCggJjWyAQQEFBAcaPHx/VMfx+P7Zu3Yrs7GwAwMCBA5GVlWU5ZkVFBTZs2BD1MWNGvGSCdvhThe3ERN7SD6HiUPj+VmYtMKJ9eK4F5lA8sS7A3LlzMXPmTJx++uk488wz8eSTT6K6uhqzZs0CAMyYMQMnnHACFi1aBAC4//77cdZZZ2Hw4MEoKyvDo48+ir179+L6668HoM8Qu/XWW/G73/0OQ4YMwcCBA3HvvfciJycHl156aawuMzriZS0wmgYftzCuWWhVRd1BQ/p0BgorlyKx5gGiWWC8iLkCdOWVV+Lw4cOYP38+SkpKMGbMGKxatcoIYi4qKoLL1WKoOn78OGbPno2SkhL07NkT48aNw8cff4zhw4cb+9x1112orq7GDTfcgLKyMpx99tlYtWpVq4SJ0uF2igXImY1NHHa4wNr+7CjiIA+Q9EHQCstWBJQHSAwxV4AAYM6cOZgzZ07Y7woLCy2fn3jiCTzxxBNtHk/TNNx///24//77eRXRHuLFBRZlo5L+aVQVYvAU5+zFUIOoN2ioo7iqJ1v7INnwQrlZYHFNvLjAohyQKQiaMzZkglYmiFYo6j41S7+oLfUJYREWu+VwFxgpQDJhzAJTXAFSeIBQE/GDRut+0sEDVbwN0lJdD/Ud4TCan8a75Tlb3qQAyYTLrb8H/LEtR1eJckVnmbpdpbE1D5AzO0or6j41Gwn1pC+69AW0lWC9uSyKCq0G31VIAZKJeHGBoZ1OVmv7a6KjxOApjrRXpZ+axQ2kXUQqa5R8uHjfcg6XNylAMhEvLrB2LBLSxh8QEWGhdcoCMStLzFF40AgW3aXJ2vU72yUTiRYXmCZmKQyHilvWVuBMjFlgirvAou7E1B1IpMJGF1ig+RxMYSWg66h/7VYDkETX4/Cg3EgExeI2VxzXenOmvEkBkgnHrAVGtx1fbHhqNkdhAkDAwRagIApaKYKz+dwuyduggrIVSzAGiHciRH6HUhHJW4HDiBcXWLQDssMbHzdsfIJnzV0Gg4MVIIWtFC0uMEljgKQqi3zwrzdnuxxJAZIJl2kxVJnM0h2lnQGipa0pfI0Ow8gfY1iAqO5UpEUBim05IqKwcikSo95cnFOQOlzepADJRHAaPKB4HFDbTxU0dPLGvtXgWzpKsgCp/NTscomKJeGEwrIVQbCG3KLqzaHyJgVIJoIuMEBtN5jDnypsx0Z5Gy4wGQdN21Hv/g7WmkvTEJByYVu6r9qCewyQw+VNCpBMuMwKkOrrgSHiU0XLVmc3Pu4IXQqj+V0LzgJT2ULZVdS1AIlPqNdFSLEOS4vRkXO9OfxhlRQgmXCZ1qZVeiZY2w2T8gCpR7CfDFqANCfHACk8SLdYgKRSe1qjoHIpEmP2Hvc8QM04VN6kAMmEJQZIYQtQtEHQCg8kUmFLHqAQq4eTEyEqvBRGEGGxJIQQwgavc6k3Z9c9KUAyoWlxshxGOy4CIwutsxsfP+xzyTBKhNiCik/NpmnwUlpiHe6SaQ89EzRHHC5vUoBkw8gGHb8WIOO2c7QVQQQiZ4E1v2tUdypbTIKWPKvuJtP1qBtfJZKws8B4Htmh8iYFSDbcplxAyhKdBUhz8iDKExsG5JZTkAssXlxgUlqADGQum/0ELa66AkSy4QUpQLIRD8thtGcBMqwIMj15qoyNT3EaTYNXOQ9Q2EzQMtWlTGWREO5ruJELjJCKeHCBtWsBIisCV2zNA0R114J6g4Z5STc5VQ11lUs7cPGOAXK4vEkBko14WA8s1F0SiuZu/pYGUa7YkQnaOIeT605O1SEawq4qLuX1OHNAjoT4enOmvEkBkg3DBRbPFiBygfHFhhgg452CoFV2gQWRNgaI+oSwmIPX+a4G72x5kwIkG/HgAmuvUZELjC92usAoBggqB0G3DKSSxgA53CXTHuZZYIxL/+lseZMCJBvx4AJrr1G5yAXGFzsWQw3+p5+DZvBByUHDmlBPwvI7PCg3Eubg9ZZcXDzP4Ex5kwIkG/GQCLHdWWA0iKoGZYI2IZXFpGOEzycj4fUoqFyKJFwmaC5WWIXvZR6QAiQbweUwAiovNkkxQLZix1IYxinIBaayCywI94zC3JCzVLHGWMPN1VJvAZ5LYThU4SQFSDbiwQXW7lpgwdvOwVYErti/FIbmaAWoGRUHDWM1+NbbpIBcYG1izt/EJQbI4fImBUg24sEFFqUFyMVUtnLJiMjFUIOnIBeYyoOG4QKTdS2wICoqlwJhJsVVSAyQQ+VNCpBsuONpFlikIGhygXHFzqUwaC0wxIObxiVtHiCZyiIPhgvMYgGi1eC7CilAshEP0+DbtQA1zwJz9CDKEztcYPo5GMVvmfIAxbYYncGcwqjFkiBRXSpsXRNKmFlgAa76jzPlTQqQbMSDC4xigOzF1kGD6q4F9QYN66KaOlwGUm44Oyi3PVyWEZtjxTlU3KQAyYajVoOXqudVHzvyAFEMEFR2G5inwfOdTcQbh47IEQimoTCvBcZ1FphDIQVINoxp8CorQEEiWICaH2MoESIv7FsKg6bBw7qiqKKYM0EHAhK1QyffV21grAVmzgTNw3TncJcjKUCyEVcusAhQHAlf7JRjMImlo5VXdQcNc0I9OWeBkQusLfQg6GAMEOUB6iqkAMlGPOQBaqdRkQVIEHasBg9ygRkoOGiYp8Eb2+QKAiLC0DILzLyR6q2rkAIkG/HgAosyCJpmgfHGjjxAZL1T+dqNfDIu82wiia5HprJIRMvsPVO98XB9kwss9ixevBgDBgxAYmIicnNzsXHjxoj7Pvfcc5g4cSJ69uyJnj17Ii8vr9X+1157LTRNs7ymTJki+jL4YLjAFFaAop4GT50dF2zMA8QogB0qu8CCWNaUkioQ1tkumUgE68hiAOI5D96h8o65ArRixQrMnTsXCxYswObNmzF69Gjk5+fj0KFDYfcvLCzE9OnTsWbNGqxfvx59+/bFBRdcgAMHDlj2mzJlCoqLi43Xyy+/bMfldJ2gC8zfENtycCFSIkSKI+GLfZ2YRrPArMl0FMU8m0jOgHZ1ZSsSPX+TkCMLOarsxFwBevzxxzF79mzMmjULw4cPx5IlS5CcnIylS5eG3f+f//wnfvnLX2LMmDEYNmwY/vrXvyIQCKCgoMCyn8/nQ1ZWlvHq2bOnHZfTdTw+/d1fH9tydBZzZxopBogsQHyxZTHUkESIpLxCxUHDCILmPZuIF9QnhCWcWAJcZ4E5k5gqQA0NDdi0aRPy8vKMbS6XC3l5eVi/fn1Ux6ipqUFjYyN69epl2V5YWIiMjAwMHToUN910E44ePRrxGPX19aioqLC8YoYnUX9vigMFKGIMEFmAVINigMyof+3m2URSXo3C1jURtDzi0CwwnsRUATpy5Aj8fj8yMzMt2zMzM1FSUhLVMe6++27k5ORYlKgpU6bgxRdfREFBAR5++GGsXbsWU6dOhd8ffvHNRYsWITU11Xj17du38xfVVQwFqC52ZegS7VuAgoHepADxwkYXmKHUOrjuFHaBtSTUa9kmZxC0erIVSrNcrLcc19VQOR5LHTyxLkBXeOihh7B8+XIUFhYiMTHR2H7VVVcZ/48cORKjRo3CSSedhMLCQpx33nmtjjNv3jzMnTvX+FxRURE7JSiuLEDh0ciKwBc7Bo2QxVDJfQmoOGgYCfVkjwFSULm0C2MWGLnAukxMLUDp6elwu90oLS21bC8tLUVWVlabv/3DH/6Ahx56CO+99x5GjRrV5r6DBg1Ceno6du3aFfZ7n8+HlJQUyytmBGOA4tgCFFSAXE62IohAZB4g4xykvErqNIqKcGtfSpUJWmHZiiRc8nGuq8E7VOGMqQLk9Xoxbtw4SwBzMKB5/PjxEX/3yCOP4IEHHsCqVatw+umnt3ue/fv34+jRo8jOzuZSbqHElQWonUSIjh5EeWLHNPiQjpJmgSk9aGhoyScjFeQCC4txy5ligLi0e4fLO+azwObOnYvnnnsOL7zwAr755hvcdNNNqK6uxqxZswAAM2bMwLx584z9H374Ydx7771YunQpBgwYgJKSEpSUlKCqqgoAUFVVhTvvvBOffPIJ9uzZg4KCAlxyySUYPHgw8vPzY3KNHcIJMUAaZYLmio2dmEYpDKByHiBhs4l4o7ByKRST/sO12hwq75jHAF155ZU4fPgw5s+fj5KSEowZMwarVq0yAqOLiorgcrXoaX/+85/R0NCAn/70p5bjLFiwAPfddx/cbje+/PJLvPDCCygrK0NOTg4uuOACPPDAA/D5fLZeW6cwXGBxbAEiBYgz4i0SRrwIaDFUAwUHDSOhnuyJEAkL5vZGq8HzI+YKEADMmTMHc+bMCftdYWGh5fOePXvaPFZSUhLeffddTiWLAUELUGNtbMvRaaKIAWqeBeaiQZQzIvMABU9B7kuV459aXCktwbRSXY3DXTKRCCsVHvehw+UdcxcYEYIDLECgxVD5YsdSGMb0aXKBqewCC6JpLaUP+GWqS/Xjq0RgXgvMyN/Es907VN6kAMmGA2KAjEzQcj17Koz4QcOINwgu1ktB0EoOGsFB0xwELWcrVE+2Igm6uyxruJELrMuQAiQbyluATAOjFv720sgCxJdAc4LPZsVSyCmaNaBg3ansBuoywXs8wv0tM0Fjj8s6ksamMOGQqSwSEVSA3Calm0sMELnACKlQ3QIUMGXbjjAg0zR4zrBmmbvENWe/8QQaVF4dXHcqK0DBgdRlDqaNXXlao651TSRmxdWw3HGpN2fLW70WHO/EkwXIFT7GnmaBcSaYyC6CvLsKY8y0iCYthmoo+YLkLZKgJc9tCgJiUroznTkgRyKcBYhmYnYdUoBkQ3kLUFPL/5EsEq5gJmhqwFwIylyQC8xvMhG4yHrXIm+XOJejKAxLnktQMG1XkaksEtHkb1aA3OZ643DgcKnBHQQpQLIRtAAxP+BvantfGYkiHsUVXAxVyidPBTFcYGIG5CaTAqRREHSLvAXGXIkiaAHyuGS1JDjbJRMJPwtjueNihXW2vEkBkg1Py6KuSlqBohiMNYoj4YvgIGhzsKXbyATt4LoLiFU4RRJUZs2xJFLFADk8KDcShuvSxdkC5HBIAZINjylbtYpxQFEMxprhAnOwFYEngi1A/rAWIAf3voLlLRJ/QPJYEmZE+8a2HJLRMgnBPAuMQ/9pBPQ7U+Gku0w2XG7AlaD/TxYgIhoEWyQClswGFMBuR9oBURjBtLJaEhQOMBdJiwXIFLbDo96MeLYEDgdTD1KAZCQhSX9XUQGKYjAOWhFIAeKE4AHZb3GBBeO3HFx3CrvAghYgl8UCJJEyawzIpACZ8Ztcl1yD1x0ub1KAZETlqfDkArMfO11gxsDp4LpTOQiatQRBGwvcyqTLOnxAjoQ/7DT4Lh6UMcfLmxQgGTGmwiu4IGoUgzEl0+OMaAuQeeYQZYJW2k1jtSTocIkl4YXDB+RI+MPM3utyvZmT1ipozeQBKUAyErQANSrsAmtrMA6uBu9kKwJPmNgB2Zw7xtVcry6ZBk27sSHztiiazLOJmq0JjX6JlFmFcyyJxKK48qo3S842Zyqc6rVgJ+Drob/XV8a2HJ0hCgtQgldX8Lys0Y4SxT8BsQOyOXuw2+sFAGgBB9edykHQprrUmmNJ6hslyjdGFqCwmDNBB93QDU1drDezAuSmIGhCFpJ66u+1x2Nbjs5gLMsQeXBI6paiv2sNaGp08EDKC5tcYG6XBrc3GQDgCShoneSFykHQxpImLZaE+iaJrHkOn5UUCbMFqEUB6qoLjCxApADJSGKa/q6kAtT+sgxJ3VOM/2tqFLRyyYboIGgjBwng8ekKUAJrEHIuJRDschSJORN0MJqkockf+Qd2QxagsAQXQ3XzrLcoFq6Od0gBkpGgBaiuLKbF6BRRDMa+xG4IML0Z11WRAtRlRGeCNlmAPL5uAAAvIwuQioNGuFgSORUg9WQrEqsLTB+26xu7agFqtr5rLiXj2XjgzKuWHaVdYNFNg6/R9JludTXldpQqfmFMuEXCHDibkKhbgLxOtgApPEj7LQOpvk0uF5i61jWRmNtgsN66bgEiaxspQDLSPUN/rzgY23J0hijdMbXQFaD6arIAdQnzbCzBeYDcLg3eZgXI52QFyFg+QL3u02/KKBxMqFcvpQXIuYNyOAIWBYhzDJCDZa1eC3YCPQfq78f3xLQYnSJK90Cdpme7bqitEF2i+Mbixxc0C8xkNUhI1F1gPjhYAVLYSmHOBG0MpI0SKUD+ZreMgrIViTUIWt/GLQbIwQHnpADJSK9B+vvR7wB/yFTHJskHnihnyNS7dAWosZYsQF2CmTpBwRYgl0uDL0lXgJK1ejTKZDmwE4UXQzUyQbtbFCC5XGDquhdF4g8TA9Tg52UBcq6sSQGSkV4DgaReeibooo9btm94FngwE/hieezK1h5RDg4Nbt2V0lhXJbpE8Y0NMznMC2j6kpKN7bV1NULOJz3xEARtygPUKFUeIHWtayIxL4ZqKEBdTSFC1jZSgKTE5QaGXaT//8GDQEM1cHAL8N879fiDNQ/GtHhtEuXg0OTWLUABsgB1DRtyeRhTcDUN3uZZYABQV1Mt5HzSo/CTszmey9+85E5jvUSKbFC2Dk3MF4mWVBQaAs311tTVeqMYIFKApOXs2wBvD2DfJ8BjpwDPntPyXWVpS8JB2YjSAtTk0QfSQL1DB1Fe2BgE7XJp0DxeNEDvMGsqHTqDj7Wf7FNWzPFczKM/hDTVSdQGaVAOi1lxRYJuhfV3te8kaxspQNLS+yTgZ8uB1H5AffNAk5yuv/vrgerDsStbW0RpAfJ79EbMGsgF1iVsCIIOXYixCrryWlN5TMj5pEdhF1iTSZllCXo9+uslaoMKW9dEYlGAmrOxsy4rQEFrGylAhIwMOBv41Wbgwj8Ap0wDrnkDSDlB/66sKLZli0SU61IFmjtfNEj09KkiQYub5jIS2/HGbH4HgBqXXncNVQrmqeKBykHQ5kzQvAZSnpAFKCzhlqNhjeQC6yqkAMmOOwE4czZw5d+B7FFAWj99e7mkClC0Sfmazbhao0Sdr4rY0ImZc5AAQJ27OwAHK0BRLPciK4Yy69LgCsZzdXUg5QkNymExuy7dPr39dbneAhQETQqQaqT21d/L9sW2HJGI1j3Q3IhdpAB1DRvcMZblEwA0NCtATTVlws4pNQrHTpgD2oMDKWuoBmseYGMOKUBhMbdBT1Jz39lUa2zvFORuJAVIOdKCCpDsFqC2G5XW3Pm6SQHqGja4Y1pykOifGxJ6AHCwAmQEQavXfZqteUnd9Hr0BOpQVtPFKdW8oEE5LP5mPcetaUhM1ustkdWhpKILa/IprMjzQr0W7HSCWaKP7oxtOUKpPQ58thQ49K3+uR2LhC81EwCQ1HBEdMnim+BsQIEWoFAXGEvqrW+vLBV2TqlROAjaHM/lac7qnYR6FB2TxA3mJwtQOMxt0NUcA5SMehQd7UK9UR4gOPfKVSVzhP5e9AmwZGLzxrbMoGICY1tRtheoM02LbufpuFu6bsnq2SjpbDZVqCvT34NxAQIoq9U7ym6+5u4i9UTgEOCtVnCtuq7CmEnmPWJalM4QtPT0SPQAvhQAQApqUHSsBqP7psWwZM0EF4BOTItpMWSj3NwGm+stVavGvmM1GH9S784d1JB1Ko8iKgkpQKqRMRzo1kefBl/yZaxLE5nkthtlz2x9uY90dhQNjU3wJtCt2CmCrtDUE4Wd4mBZLQDghDQ9b4w3vT+wE+heWyzsnNJSe7wl+DQ4I1MR6hr9OFJVD6C5LrvrVtgMrQzfymAB8jcB1Yf0/3tkx7YsEhEIMBSXN7fBnklAVRYAvd7WdaXeqkr09x5ZXS2istCooxoJicAvNwCHvwEam/2/mvEnBJsCGxl0i8+XrwJfvKRva6cD65XVD43MDa/mx56inRhw0iniyxmPlDcHwweD4wVw4Lip8wXQ64QhAIDMpgPwB5jhGnMEQYWzW4beFhWiuFzvL5IS3EhLTjDaaKZ2HO91xZXCi+rDenyV5ga6pce6NNJwuKoejX69nWX28Bn1loHj2NsVBagyqAA5V9kkBUhFuvUGup0d61K0JuWEFgWoHb+y5k7AQc+J6O/fiyPffUEKUGc5tlt/79lf2Cl2H9UD1fv21GMPMk8ao79rx/H9/n0Y1K+fsHNLx7Hv9XeB8hbFnmA99krSF0JtfvLP1I5hy76yGJasmfL9+nv3TAqCNrH7iF5vOWmJ8LhdRr1lacexpegYGGPGwrYdIjiT2MEWICmCoBcvXowBAwYgMTERubm52LhxY5v7v/rqqxg2bBgSExMxcuRIvPPOO5bvGWOYP38+srOzkZSUhLy8POzcKVnQcDzSZ6i+iCsADJjY9r4AjnXXLQm1ez8VWar45vB2/b3PMCGHZ4xhV6meKfjkTD3mxZWUihK3/tS4a9MaIeeVlsPNQf59hsa2HJ1gR4m+7t6Q5noMKnF9tAoUl5YYrs6YUbpNf8+ghyEzO0r1ejs5o7neUk8E09xI1upRf7wY3x/p5Eza0q/094zhHEqpJjFXgFasWIG5c+diwYIF2Lx5M0aPHo38/HwcOnQo7P4ff/wxpk+fjuuuuw6ff/45Lr30Ulx66aXYtm2bsc8jjzyCp59+GkuWLMGGDRvQrVs35Ofno66uC1MGiei4eSMw8z9Av9x2d9UG6las9OK18uQhUQl/k/BBY8/RGlTWN8HrdmFgestCqMez9Lpz73hbyHml5eAW/V3BQePLA/okhWFBBSgxFeiRAwAYrB3Av7+IcVD7gc/096yRsS2HZGzdr9fb0KzmevP4oPXSYyhPdu3Hm1s6UW+VJUDFfgBay8QaBxJzBejxxx/H7NmzMWvWLAwfPhxLlixBcnIyli5dGnb/p556ClOmTMGdd96JU045BQ888ABOO+00/PGPfwSgP7E++eST+O1vf4tLLrkEo0aNwosvvoiDBw9i5cqVNl6ZQ+neBxjYvvUHAE6aeAUamRunBHZi3b+XiS1XWxzbDdRXAfs2WmeyRUFlXSO2HSjHwbJabNp7zF5F7vtCoL4Cfl8afvFOFQq3h39o6Cz+AMPLG/WYl7H90uD1tHQX6ROuBgBMrHkfa1b/u2MHrjoMVB/RUybEMJ9VaUUd9h6txvaSSuw6VNn+D2qPA7vXAgCWHjgBr3wqaTLSMByqqMPqr/W0BbmDTBMUskfp21zf4pkPdmFzUYyyezfVA9tXAQA+d4/EZ3uiX2fOH2DYXHQcx6obsHbHYdQ2+Nv/kSIcrarHf7fpsTrh6+0bPPvhd/jk+6MdO/DXbwEAGjNHY8XWctQ1xo/MOkJMY4AaGhqwadMmzJs3z9jmcrmQl5eH9evXh/3N+vXrMXfuXMu2/Px8Q7nZvXs3SkpKkJeXZ3yfmpqK3NxcrF+/HldddVWrY9bX16O+vt74XFFR0ZXLIqKkR+8TsOXEqzDmwD8xYdNt+OrL51CdnKOvExYmx4rZyx2qZrAIX7Aw/wH6bGYA6N1wAMOqNhjbq9xp+CptMjTTNH4WKZVAoAlHjx1DY1MTtjEv3AjgcGpPpCZ52ywwayM4vZX+pGmttwFIbSzByIqPAACvVY/GBzuO4YMdx/Cz3H7tJj4IhgtozXu2fLbyv++OYtch3f11wQhrnECf4ZOwveckDD1eiB+uuwZfrx+CmqRsNCakQHO5oGkaNM0FBl1+AWgAAxL9lTi1fA28rAEA4IcbX/Y8H42ebghHRNm3QaTfuBCAizXBxfzwNzWg5HgV/AxoYAlgmob9vXvC5/EAmv4gFWD6EgSMAQn+Gkyo+C8AYD/rgwc+c4N99iXW7jiMtOSEVsuwaaYytBWe0dbVdSauo74pgEMVdfAluJCSmACPW0NFbRPearbu9O7mxdh+aS0/ODkf2LEKdycsx3XsHdQ/n4Cd7lTUeXui3tsTzJUAaC4EXB4wzdXcLrVW7S3SPR3pecDcLj2BBpx1XFeiy9ADV73nRj3WY/LQPjixZ3KrY2vQLPfslv3l+MIUw9S/dzLOHpxurF1n/j0zyhtavjD7hFxb6G8BPa1AXaMf3XxudPN50M3r4TYpoKK2Ea9/fgAA0MPnQe7AXi1fnjwF2PYa/p9nJaazD1D/QgJ2ulNQ31xvAZfXqDdobjDNhQDTr6FP3R6cXK1b2x7bPwxL9m7F3a9txc9y+6Gtomshd2u42zN0U3v38MQh6TjvlMw29xFJTBWgI0eOwO/3IzPTKoDMzEx8++23YX9TUlISdv+SkhLj++C2SPuEsmjRIixcuLBT10B0jZEzH8eXfyrFqLL3MaLpK6Diq5iWp7u/DLlH3+jYj8y6WnXzyyaqWCKW+C82Pr+0gb9F5YS0JFx+esg0e03DoOuX4dvnZmBY2YcYHtgBVO/o8LHd8GPs8VWcStpBQu3fZdH97A+Nl4M1//jtrWqlAvh/5w5Ggtt04aOuBNY+ClQeRLrW/OAXOArUQX/ZzOONP0E99AeINds7lyNs79Ea7D0qaab8TvLLyYORmGDqaIZfAqz5PXB8d0i97Y663vYF+uAl/3nGZxF9R3ske93OVYBkYd68eRarUkVFBfr2FTetmGjB7U3EqFtfw6E927D/i0I0Vh0Bq6uM+OjI0E5qxxDrRpivTPsAAc2NkqzJ6FGxA/Xe3uhWvhPepkpj8cGWs4Ypi+ZBYrceGNgnFQcOH0H3RC8OHz1mejpmER//w21t84kq5MuAloDjfU7H0aRB+EW3PmAAquqa0NAUiMrCxEI2sDD7JPvcOGtQbwzO6I6UxIRWx0ro1hPDbv03Kkq+Q9EXhag9XgpWXwEWCOiWk0AAGpj+0lqe3I+mjYbfkwRv/VGwxnr0qN3XfM5Q812k64h8fZHvDQYG/YnYr3nANDcy07ojLdGN8soquBHAsYpK47yapukLhmp61mSX5sKx1GEoTRqCsT36Y5xLQ32jH3WNfjQFWlsP2i9pW9fX9u/a8rJ63Br69PChsSmAqvomNPoZ/AGGU09IxeCMbhicEZK80dsNuO49YMcq4ITTUF7biD37ilBXdgiB6qP6gpkBPxDwQ2P+lqVXLGimv7C0r9Z7mT4b9zRDRY8hKO85AoO79ccDzdsrahvR0BRo3rfl2kPvXV+CG/kjMrG5qAz9eyVjc1EZ6pv8CDDrOUMtn9Zt1s/W8kU+RjefGz0SPaiq96O6vgnV9U0hfUfXGJ6diiGZ3Y0JCAYeHzDrv8C3/wFyxqKq3o/d+/ahpqwEgapjQKChVb0F21/AnYSyzFwcShqEe5JTUd8UgD/AjGSLkQh7VWGuNWKrDfPFmWarVgyIqQKUnp4Ot9uN0lJrSv3S0lJkZYWfmpeVldXm/sH30tJSZGdnW/YZM2ZM2GP6fD74fL7OXgbBgYwBpyJjwKkxLMHULv062IwHdr0gypGSdRJOzTop1sXoNM7NgtJMWl/gzNkAgFQAowefFdvydJKgcmeJlYlnUrKNeusOYORJ7U88IazENAja6/Vi3LhxKCgoMLYFAgEUFBRg/PjxYX8zfvx4y/4AsHr1amP/gQMHIisry7JPRUUFNmzYEPGYBEEQBEE4i5i7wObOnYuZM2fi9NNPx5lnnoknn3wS1dXVmDVrFgBgxowZOOGEE7Bo0SIAwC233IJzzjkHjz32GC666CIsX74cn332GZ599lkAutny1ltvxe9+9zsMGTIEAwcOxL333oucnBxceumlsbpMgiAIgiAkIuYK0JVXXonDhw9j/vz5KCkpwZgxY7Bq1SojiLmoqAgu04ycCRMm4KWXXsJvf/tb3HPPPRgyZAhWrlyJU09tcZ/cddddqK6uxg033ICysjKcffbZWLVqFRIT1UpdTxAEQRCEGDRGGehaUVFRgdTUVJSXlyMlJSXWxSEIgiAIIgo6Mn7HPBEiQRAEQRCE3ZACRBAEQRCE4yAFiCAIgiAIx0EKEEEQBEEQjoMUIIIgCIIgHAcpQARBEARBOA5SgAiCIAiCcBykABEEQRAE4ThIASIIgiAIwnHEfCkMGQkmx66oqIhxSQiCIAiCiJbguB3NIhekAIWhsrISANC3b98Yl4QgCIIgiI5SWVmJ1NTUNvehtcDCEAgEcPDgQfTo0QOapnE9dkVFBfr27Yt9+/bROmNtQHKKDpJTdJCcooPkFB0kp+iIhZwYY6isrEROTo5lIfVwkAUoDC6XCyeeeKLQc6SkpFDDiQKSU3SQnKKD5BQdJKfoIDlFh91yas/yE4SCoAmCIAiCcBykABEEQRAE4ThIAbIZn8+HBQsWwOfzxbooUkNyig6SU3SQnKKD5BQdJKfokF1OFARNEARBEITjIAsQQRAEQRCOgxQggiAIgiAcBylABEEQBEE4DlKACIIgCIJwHKQA2cjixYsxYMAAJCYmIjc3Fxs3box1kWxl0aJFOOOMM9CjRw9kZGTg0ksvxfbt2y371NXV4eabb0bv3r3RvXt3XHbZZSgtLbXsU1RUhIsuugjJycnIyMjAnXfeiaamJjsvxTYeeughaJqGW2+91dhGMmrhwIED+PnPf47evXsjKSkJI0eOxGeffWZ8zxjD/PnzkZ2djaSkJOTl5WHnzp2WYxw7dgxXX301UlJSkJaWhuuuuw5VVVV2X4ow/H4/7r33XgwcOBBJSUk46aST8MADD1jWSnKinD788ENcfPHFyMnJgaZpWLlypeV7XjL58ssvMXHiRCQmJqJv37545JFHRF8aV9qSU2NjI+6++26MHDkS3bp1Q05ODmbMmIGDBw9ajiGtnBhhC8uXL2der5ctXbqUffXVV2z27NksLS2NlZaWxrpotpGfn8/+9re/sW3btrEtW7awCy+8kPXr149VVVUZ+9x4442sb9++rKCggH322WfsrLPOYhMmTDC+b2pqYqeeeirLy8tjn3/+OXvnnXdYeno6mzdvXiwuSSgbN25kAwYMYKNGjWK33HKLsZ1kpHPs2DHWv39/du2117INGzaw77//nr377rts165dxj4PPfQQS01NZStXrmRffPEFmzZtGhs4cCCrra019pkyZQobPXo0++STT9hHH33EBg8ezKZPnx6LSxLCgw8+yHr37s3+85//sN27d7NXX32Vde/enT311FPGPk6U0zvvvMN+85vfsNdff50BYG+88Yblex4yKS8vZ5mZmezqq69m27ZtYy+//DJLSkpif/nLX+y6zC7TlpzKyspYXl4eW7FiBfv222/Z+vXr2ZlnnsnGjRtnOYasciIFyCbOPPNMdvPNNxuf/X4/y8nJYYsWLYphqWLLoUOHGAC2du1axpjemBISEtirr75q7PPNN98wAGz9+vWMMb0xulwuVlJSYuzz5z//maWkpLD6+np7L0AglZWVbMiQIWz16tXsnHPOMRQgklELd999Nzv77LMjfh8IBFhWVhZ79NFHjW1lZWXM5/Oxl19+mTHG2Ndff80AsE8//dTY57///S/TNI0dOHBAXOFt5KKLLmK/+MUvLNt+8pOfsKuvvpoxRnJijLUa2HnJ5E9/+hPr2bOnpd3dfffdbOjQoYKvSAzhFMVQNm7cyACwvXv3MsbklhO5wGygoaEBmzZtQl5enrHN5XIhLy8P69evj2HJYkt5eTkAoFevXgCATZs2obGx0SKnYcOGoV+/foac1q9fj5EjRyIzM9PYJz8/HxUVFfjqq69sLL1Ybr75Zlx00UUWWQAkIzNvvfUWTj/9dFx++eXIyMjA2LFj8dxzzxnf7969GyUlJRZZpaamIjc31yKrtLQ0nH766cY+eXl5cLlc2LBhg30XI5AJEyagoKAAO3bsAAB88cUXWLduHaZOnQqA5BQOXjJZv349fvjDH8Lr9Rr75OfnY/v27Th+/LhNV2Mv5eXl0DQNaWlpAOSWEy2GagNHjhyB3++3DEgAkJmZiW+//TZGpYotgUAAt956K37wgx/g1FNPBQCUlJTA6/UaDSdIZmYmSkpKjH3CyTH4XTywfPlybN68GZ9++mmr70hGLXz//ff485//jLlz5+Kee+7Bp59+il/96lfwer2YOXOmca3hZGGWVUZGhuV7j8eDXr16xY2sfv3rX6OiogLDhg2D2+2G3+/Hgw8+iKuvvhoASE5h4CWTkpISDBw4sNUxgt/17NlTSPljRV1dHe6++25Mnz7dWPxUZjmRAkTEhJtvvhnbtm3DunXrYl0Uqdi3bx9uueUWrF69GomJibEujtQEAgGcfvrp+P3vfw8AGDt2LLZt24YlS5Zg5syZMS6dPLzyyiv45z//iZdeegkjRozAli1bcOuttyInJ4fkRHCjsbERV1xxBRhj+POf/xzr4kQFucBsID09HW63u9VMndLSUmRlZcWoVLFjzpw5+M9//oM1a9bgxBNPNLZnZWWhoaEBZWVllv3NcsrKygorx+B3qrNp0yYcOnQIp512GjweDzweD9auXYunn34aHo8HmZmZjpdRkOzsbAwfPtyy7ZRTTkFRURGAlmttq91lZWXh0KFDlu+bmppw7NixuJHVnXfeiV//+te46qqrMHLkSFxzzTW47bbbsGjRIgAkp3DwkolT2mJQ+dm7dy9Wr15tWH8AueVECpANeL1ejBs3DgUFBca2QCCAgoICjB8/PoYlsxfGGObMmYM33ngDH3zwQSuT57hx45CQkGCR0/bt21FUVGTIafz48di6daulQQUbXOhgqCLnnXcetm7dii1bthiv008/HVdffbXxv9NlFOQHP/hBqzQKO3bsQP/+/QEAAwcORFZWlkVWFRUV2LBhg0VWZWVl2LRpk7HPBx98gEAggNzcXBuuQjw1NTVwuaxdvdvtRiAQAEByCgcvmYwfPx4ffvghGhsbjX1Wr16NoUOHxo37K6j87Ny5E++//z569+5t+V5qOQkNsSYMli9fznw+H1u2bBn7+uuv2Q033MDS0tIsM3XinZtuuomlpqaywsJCVlxcbLxqamqMfW688UbWr18/9sEHH7DPPvuMjR8/no0fP974PjjF+4ILLmBbtmxhq1atYn369Im7Kd5mzLPAGCMZBdm4cSPzeDzswQcfZDt37mT//Oc/WXJyMvvHP/5h7PPQQw+xtLQ09uabb7Ivv/ySXXLJJWGnMo8dO5Zt2LCBrVu3jg0ZMkTp6d2hzJw5k51wwgnGNPjXX3+dpaens7vuusvYx4lyqqysZJ9//jn7/PPPGQD2+OOPs88//9yYvcRDJmVlZSwzM5Ndc801bNu2bWz58uUsOTlZqWnwbcmpoaGBTZs2jZ144olsy5Ytln7dPKNLVjmRAmQjzzzzDOvXrx/zer3szDPPZJ988kmsi2QrAMK+/va3vxn71NbWsl/+8pesZ8+eLDk5mf34xz9mxcXFluPs2bOHTZ06lSUlJbH09HR2++23s8bGRpuvxj5CFSCSUQv//ve/2amnnsp8Ph8bNmwYe/bZZy3fBwIBdu+997LMzEzm8/nYeeedx7Zv327Z5+jRo2z69Omse/fuLCUlhc2aNYtVVlbaeRlCqaioYLfccgvr168fS0xMZIMGDWK/+c1vLAOUE+W0Zs2asP3RzJkzGWP8ZPLFF1+ws88+m/l8PnbCCSewhx56yK5L5EJbctq9e3fEfn3NmjXGMWSVk8aYKR0oQRAEQRCEA6AYIIIgCIIgHAcpQARBEARBOA5SgAiCIAiCcBykABEEQRAE4ThIASIIgiAIwnGQAkQQBEEQhOMgBYggCIIgCMdBChBBEARBEI6DFCCCIAiCIBwHKUAEQRAEQTgOUoAIgiAIgnAcpAARBEEQBOE4/j95y1pxYkISiQAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Divider output leading reference signal with lower frequency\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Divider output following reference signal with higher frequency\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Divider output following reference signal with lower frequency\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkcAAAGdCAYAAAAYDtcjAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAA9hAAAPYQGoP6dpAABt50lEQVR4nO3dd3xUVfo/8M+dmWSSQCohDQIEaYI0QSAoC2g0oF8Q18qqlFV2deW3YmyLBbAtVkRdVmwssrtSbKiriyUSWJReFCwICISSQgvpbeb8/pjMnZk0MnfunXPumef9ekWcyZ3Jue3c557znHMVxhgDIYQQQggBAFh4F4AQQgghRCQUHBFCCCGEeKHgiBBCCCHECwVHhBBCCCFeKDgihBBCCPFCwREhhBBCiBcKjgghhBBCvFBwRAghhBDixca7ACJyOp04fvw4oqOjoSgK7+IQQgghpA0YYygrK0NaWhosFu3tPxQcNeP48eNIT0/nXQxCCCGEaHDkyBF07txZ8+cpOGpGdHQ0ANfGjYmJ4VwaQgghhLRFaWkp0tPT1eu4VhQcNcPdlRYTE0PBESGEEGIygabEUEI2IYQQQogXCo4IIYQQQrxQcEQIIYQQ4oWCI0IIIYQQLxQcEUIIIYR4oeCIEEIIIcQLBUeEEEIIIV4oOCKEEEII8ULBESGEEEKIFwqOCCGEEEK8UHBECCGEEOKFgiNCCCGEEC8UHBFCpPLtgZNYtfUI72IQQkzMxrsAhBCip9+9sRkA0DctBhd0iuVcGkKIGVHLESFESifLa3gXgRBiUhQcEUKkUe9worNSjIHKfkSFU8M4IUQbCo6IKdU5nNh++AzqHU7eRSECqaxzYIN9Fj6yz0FszXHexSECYjVlqDy6m3cxiOAoOCKm9NgnP+DaV7/F0//9mXdRiEAqK6vV/4+sOMaxJERUhQtGIerNS3Bs5xreRSECo+CImNK/NuUDAN7ccJBzSYhIas4WqP/viIjnWBIiouo6B1JrXHXG4W/e5VwaIjIKjgg3xaXVeH39AZRV17X5M9V1Dry3/SgscKIDziI2MszAEpK2YozhjfW/YsO+k6iuc3Apw9mqOny95TvPGwpVb1ptO3Qa720/ilI/zk3R7S8uw4DHPldf17dP41ga/VTXOXD3ip345LvjcDgZ7+JIgzIWCTcvfvULlm85gr9+5ukai7bbcEGnWPRNi0F8VBgiw21IiYlAh/bhqKytx7f7T+HNDQexIvwpDFN+xl/tOQCu4LcSBACw60gJnvrspybvWxTXkPpeydHokhCF9nYbwm0WREfYEBcZDqtFQbjNAptFgaIAiqIg3GqBogA19U6EWy2odzJYFQUMDIwBVouivlfrcKCq1gmLBfjz8l0YXPkjpoe7/jYDXSi0mvnOThSWVgONGlfO69gOPZLao1tiO8RHhSMq3AqbxYLE9uGICrfBYgFsFgusDfvTqrj2b3WdAzaLBQwMTgbYLArqHE6ENbN/LYqCeqcrl1BRFNgsChgD6p1OWBQFVosCJ2NwOBmsFgUWpelrRQHSE6IQE+G5eXpk9R5E1ZeqVz1rbGqwNqeh1uwpxEe7juOjXcfx/5bvVN9vF25Fj+RonJ8Sjc7xkUhsb8dFGQmwWRScLK9BaVU9YiLDPOceFAD+nzfuz2nRMdqOlNgIzZ83EgVHhJud+SVN3iurqcfGX09h46+nWvycDfUYYXFdiH+j7DKodMQfpdX1zb7vZMCeY6XYc6w0KOVIspZ4vaLgSKvC0upm3z9wogIHTlQEuTTapMZG4NM/j0JCu3AwxrDp19Poq3jqFXtEFMfS6cdmbT44qah14LsjJfjuSElwC+SHP405Dw+M68O7GM2i4Ihwc0GnWPxcWNamZTvFRSIy3Ir9xeVIxhn1/To75ZWIgDH/AxGrRUFUuFW927daXPeg7m+y2yyoczhhs1jgZAwKXC0J7hYCh5PBHmZBZJgVVXUOHD5ViSSlRMe1Iv6w2yyICLP6tAICrmPDbrP67MN6p2u/uv9tvH/dF3zGAIeTQVFcLUqA67Wl4fsZc7VEeb92MIai0hoUnK3G298eQnxUGN7dfhQAkKKcVsurSBI8+3vqtQu3IiYyDAntwlFWXQ+Hk4ExV3uRO8xy77tz/+3AtmF0hLhpERQcEW68+8fHX5CCPikxGNQlTm0CbhduhUVRYLF4TtSy6jr8/rGX1deRYXQIi6BxrkPf1BiM6d1R7VJLbG9HdIQNVsX3wqmnz38oxKnlb3jeoPwLzcKtFtQ2TJMRbrXg2iGdMDyjAzrFR6JrQhSiI8Jgt1kM25eB+nDnUdyz8ju8lLvP5/00r5ajAK/rwmh87o08rwOGZSRgQOdYdEloh8T24Whvt6ndjSLuLxHRlYVwU99wUs+d0BfTL85o02eiI8IQr3ham+hEF4O7gr6wSxw++NPFXMrQoV04FCU43Xeyc+edbJp9mbA5Ia0Z3SvJ5/WlfZLwl/F9sOaVVep7suSkuevR0b064u3fD+NcGnnQcA7CjXsCR5vFvwDHCs/Ej7I0jZudOziy+rkv9RQTGQYbPCPlZGkZ4MF9wbWY9AqR0C4cVw1wJVwP65aAv998IXomtYcdXqPvJDlAHE5t9ShpHbUcEW7q1QuqfzWwd3BExFAvQnAUEUbHhg6cTqbGDTazRkcAXr5pMO4cfR56p0QjzOpajwibfAGE+yEBFgqOdMX1yF+/fj0mTJiAtLQ0KIqC1atXt7r8tGnToChKk59+/fqpy8ybN6/J7/v0ETMbPtS5Wxv8veO5dVgnr1dy3P2ZnZO59yW/KiU5xo6kaE+CpyzdJsHm8GpR4RnsBspqUXBBp1g1MAKA7PM93W2BJhOLglqOjME1OKqoqMDAgQOxaNGiNi3/0ksvoaCgQP05cuQIEhIScP311/ss169fP5/lNmzYYETxSYDcrQ0tDUVtyfBucQaUhgSi3uHuhuFXQSuKgvOTvIdny3HxCzbvBF8zB0fNSYkWd3SUViK02sqIa7fa+PHjMX78+DYvHxsbi9jYWPX16tWrcebMGUyfPt1nOZvNhpSUFN3KSYzhzjny+6RmXnklehaIaOZg2loBdceoWy1Q3sER9/2pN6/jQ56WI0HOPcmYt0MZwFtvvYWsrCx07drV5/19+/YhLS0N3bt3x80334z8/PxWv6empgalpaU+P8R4asuRv10xTs+Eg4okFZzZuStoC+/Rg97BER0bmtR7BUfc96fevG6sZLmz0pq7SVpn2q15/Phx/Pe//8Xtt9/u8/7w4cOxdOlSrFmzBq+++ioOHjyIUaNGoays5ckG58+fr7ZKxcbGIj093ejiE3jd8fjZrQYnn2d3kZYJc/dKx0bAQqbliGMx9CTMuScZ0wZHb7/9NuLi4jBp0iSf98ePH4/rr78eAwYMQHZ2Nj777DOUlJRg1apVzX8RgNmzZ+Ps2bPqz5EjRwwuPQG0D+X37TqRpYozN3Uov7+Brt58Wgbo2NDCvS8VRcIRUD4ti3J0wQpz7knGlEP5GWNYsmQJbr31VoSHh7e6bFxcHHr16oX9+/e3uIzdbofdbte7mOQcNCcSOpt/jhfhR92XvLthqOUoYA5R9qURnPK1HAlz7knGlC1H69atw/79+3Hbbbedc9ny8nIcOHAAqalyPIFZJg7NOUfeF0BZqjhzc4rStM9oEshAuZPrpRz9JElrkTf3UH4p9xdHXIOj8vJy7Nq1C7t27QIAHDx4ELt27VITqGfPno0pU6Y0+dxbb72F4cOH44ILLmjyu/vuuw/r1q3DoUOH8O233+Kaa66B1WrF5MmTDV0X4r86d7eav83BjFoHROOZUZl3yxF1uQbK4RAk0DWCT7cav2LoqV6UGxPJcO1W27ZtG8aOHau+zsnJAQBMnToVS5cuRUFBQZORZmfPnsX777+Pl156qdnvPHr0KCZPnoxTp06hY8eOuOSSS7Bp0yZ07NjRuBUhmmhOJKSuE+E4hRnKT8dGoOobAkzuga4RfI4POVqR3MEs5Rzpi2twNGbMmFbnmli6dGmT92JjY1FZWdniZ1asWKFH0UgQaM85oqRb0bgngeTetE9drgETJtA1goQtR8LMMSYZU+YcETm4L6je0/u3CbUOCEeYvAc6NgIm9YzLTvkmkHXQPEeGoK1JuNGl5UiaKs7chEni9b74OenY0MIhc3Ak4SShlHNkDAqOCDeaH5hIQ/mFI8xwYkkueDxpHkVqBjJOAilKl7ZkJDz6iVlozlNh1HIkGqcoE9HRsREwz8hDzgUxgoQTyErdDcqRjIc/MQn3Se13zhGNVhOOME37EuaUBJuTWo5MReoEeo4kPPqJWTi0zo1DwZFwhJlVmRKyA6a2HMl4rfUZ6SrHUH5qOTIGBUeEG9Zw7+b3Ke11AVQox0QIwoyYkfDiF2yhknMkC825m6RVEh79xCzccY3fjQ3UciQcT3DEuSDUchSwUBmtJst9lTt3U8pJOzniXZURAsXftiMarSYccVqOvC9+klz9gkzu4Ei+CWTdM1Zw79KWDAVHhBvNVRO1DgiHWo7koTkX0Ay8AiI5QiNApjURCe+qjIQw9529/91q8k3kZnbuETMW3nevNEFowNz7kvesDIaQ8NFDmtMTSKsoOCLcuKumQBKyiRiEucz4dJvwK4aZSb3ZJBzK7+Z3egJpFQVHhD+/W468c45kq+LMyXP3ynsov3yjkYJNmH1pBAmPD6oBjUHBEeFGc6s2jVYTFtfLKWNSzoAcfBqn2DADCROy1YEHUu4wfig4Itz53RxM3WrCEeIyI2GrAE8yNhzJeIxoTk8graLgiHDhPcw6oHmOJLn7MzvNyfV6atyiSMeGJlJvNibvYA4pu0E5ouCIcOd/QrZ8d39mJ8Tda6MWRbkufcHj2ZcSXmy958GS5AiRLMYTBgVHhIuATmjvVidJKjhZcL17bdRyRI+W0YYJEekaRMKcNJl3F08UHBEuvKsl/y+oclRqUhFhl1DLka6kvNh6HSOyHB9CdGlLiIIjwoVPzpH/H/Z+oUdxSIDUhwiLlHNENJGlu6lZPjlH/IphBAqO9EXBEeGOTmrzE2I0caNuNHq2mjZSz7gsYbcaMQYFR4QLn241vy+pVKkJi+cVlRL1dSF3QrZXt5ok1YjnxkTC/cURBUeEC70SsqWp4UxOzN0gZKFMg1qOzEGILm0JUXBEuPDJa/A/6UjPohAdqBU051L4vqTjRAupuyMlzjki+qLgiHDhXf/6P1iNajXRCJGnQseFrqRsiZBwEkhJVkM4FBwR7mSsg0MV37wH1sor0lZS57Aw70kg5SD1g4I5ouCImBBNAikaIfZC41touqUOiJTXWqd88xy5ybi7eKLgiHDh263m74NnWXP/SzgSoluN6CJk5jmCHKMbpd5fHFFwRLhgPq0//n+aiEbAhGyiidQ3HBJO90A3Jsag4IhwF9hJLXNNbj5CJWRLfZU3jtw5LKzZ/zUzqeel4oiCI8KFbvMcESGIsUsaJ2QLUSjTkvJS690lz7EYRpAyluWIgiPCRWAzZHt/VrYqzpzEvHulY0OLkNlqYkT0gZNkNURDwRHhwufBszTPkekxz/hvnoVo9JpPMcxO7qe8y/fQajEmYJUPBUfEhOSr4GQhVkI2HRtaeFoBJSRh1UEJ2cbgGhytX78eEyZMQFpaGhRFwerVq1tdPi8vD4qiNPkpLCz0WW7RokXo1q0bIiIiMHz4cGzZssXAtSBaSFIvkQZC7E9qUdSVnAnZ3mQ7XmTfX8HFNTiqqKjAwIEDsWjRIr8+t3fvXhQUFKg/SUlJ6u9WrlyJnJwczJ07Fzt27MDAgQORnZ2N4uJivYtPAkCPD5GLGCOc6LjQhdSbUb450iRZDeHYeP7x8ePHY/z48X5/LikpCXFxcc3+bsGCBZgxYwamT58OAFi8eDE+/fRTLFmyBH/5y18CKS7Rk89zZ/2OjjyflaWGMzkhu2Lo2NBE6hwWJl+/mtw5YvyYMudo0KBBSE1NxeWXX45vvvlGfb+2thbbt29HVlaW+p7FYkFWVhY2btzY4vfV1NSgtLTU54cETyAtR3JUb/IQaZ4jOja0kTuHRb66Q8gbEwmYKjhKTU3F4sWL8f777+P9999Heno6xowZgx07dgAATp48CYfDgeTkZJ/PJScnN8lL8jZ//nzExsaqP+np6YauB9Fvhmwayi8GJkQrDY1W05fsl1u5DhD5c8SCi2u3mr969+6N3r17q69HjhyJAwcO4MUXX8Q///lPzd87e/Zs5OTkqK9LS0spQDKYENdSojuRWo5ku/gFi9RbzfsYkWRFqS41hqmCo+YMGzYMGzZsAAAkJibCarWiqKjIZ5mioiKkpKS0+B12ux12u93QchJfPpNABvDgWSIGzzRHIt290nGiRah0q8mCutWMYaputebs2rULqampAIDw8HAMGTIEubm56u+dTidyc3ORmZnJq4jkHAJ68Kx8dZ2pyXlBDS0hk5At2UNo6dzTF9eWo/Lycuzfv199ffDgQezatQsJCQno0qULZs+ejWPHjmHZsmUAgIULFyIjIwP9+vVDdXU13nzzTXz99df44osv1O/IycnB1KlTMXToUAwbNgwLFy5ERUWFOnqNiEG/GbIpOhKBEM8xa5yQLUCRzEz2i600hwcd6IbgGhxt27YNY8eOVV+7836mTp2KpUuXoqCgAPn5+erva2trce+99+LYsWOIiorCgAED8NVXX/l8x4033ogTJ05gzpw5KCwsxKBBg7BmzZomSdqEr8BOZ6oMRCNG/exbCErW10aMfWkU+Vqd1W41yYPZYOMaHI0ZM6bVUS5Lly71ef3AAw/ggQceOOf3zpw5EzNnzgy0eMRAvpNA0lltdkJMAklD+XUh5kOEdSJh5Cdmvp/5mT7niJhTQN0w3l1ydAkUilDVs4QXwqCQelJB+eY5Ukm5v/ih4Ihwpa0ClriCMykhco6EKIM85AyOvMmRkC3GuScfCo4IH4Gcz9RyJBwhhn83bimiliNNpN5qEs9zJH0sG2QUHBEuApubQ5JaTSJi5KnQcaEHuXNY5BvpKkS+n4QoOCJc6HZCU+uAUERqOaLuBm1YqDRFSHZ4yL67go2CI8KVtpQjyWo1GdAukY6UF1sJH1oty3qIhoIjwoU6C2+ACdlEDGLMqkwPntWD3JvNO+dIjjVlUo8u5IeCI8JFQPWS9xxJklflZiFkQjYdG5pIncMiYcuRm5w5YvxQcES4CCyBV94KzvwoIdvsQudBpnIdLzLGsjxRcET4CvCEppYjMYi5F+SYx4YXOS+2Yh6pgZCkd1A4FBwRLtR+cm0f1rUsJHBC5D3QcaGL1h7pJBVJ1lOMfD/5UHBEuAisXpJvIjezE6MrptFQfjo2AiLdxVbSnDQmxsknHQqOCFeaWhroqicskR48S7SROiHbCx0upDUUHBGuAk3IJmIQ40IjRCGIqMQ4SHUnxuz08qHgiHCh39BvOSs8sxGyZV/Si6HR5M1hkfN4ECLfT0IUHBEuAnq0A130xCNCBU3HhS5CJ4dFrtGM0u+uIKPgiHAR2OObPBdBGsovFr53r41nyKZjQwtpu2kaHw+SHB6SrIZwKDgiXKgVsJarKV30hCPEHhGiEERcjR9MLIkQSaAPNgqOCFeBpxxJU8WZmqclUJwKmjG5uk2CRYhHwRhB8pYj6fYXZxQcES4Cm2hOklpNImoOmUjdakQTeROyG5PreJF/fwUXBUeEC7VaonmOpMI3NqLjQg/Sthw1CYbkOF5CZkbzIKPgiHChV0K2LBWc2YlRPwtRCCIqMQ5S3VG3mjEoOCJcUUK2HISYVZmOC12JlD+mDzlHM7KAmuFJSyg4IpwIMC8O0Y2IU+NQd4M2oTKpoGxHh+z7K9goOCJc6PbgWSIUoeY5IppIm3Mk7Wg1SVZEMBQcES4CamnwquRoEkgxCNFK06gMimQzIJNAyZqQ7fpXtliWNwqOCFfaclTkqNRkxDdPhY4LPXjfeshMlqNFiHw/CVFwRLgI6G7HZ7CaLFWcuQnRFdOk24SODS2E2JdGaHI80PFBWkbBEeGC+snlJNL1lI4wbeSdBFLOnCM3+fYXXxQcES4CuzuVrFaTgBjBrghlIMJqkpMmx/ESKqMLg42CI8JZYPMcyVLBmZ26S6hbzfSk7VZrRLajQ755qfii4IhwQS1HcvHERpSQbXZi7EsjyNmtJslqCIeCI8JFQN0wPi0CVDWIRPbWhpAgazeNpAnZodLSF2wUHBEuaG4OuYg4z5EIRSIiYa28Mi8x8v3kQ8ER4SrQbjWFroBCECHlqPHljvLRtBFjXwaBZHUHtRzpi2twtH79ekyYMAFpaWlQFAWrV69udfkPPvgAl19+OTp27IiYmBhkZmbi888/91lm3rx5UBTF56dPnz4GrgUJhKa8Bq9KTa7qzcREmIiuccsRHR2aSDupoGTBkJukq8Ud1+CooqICAwcOxKJFi9q0/Pr163H55Zfjs88+w/bt2zF27FhMmDABO3fu9FmuX79+KCgoUH82bNhgRPFJACghW070bDXzC5WgUpagQt4Eer5sPP/4+PHjMX78+DYvv3DhQp/Xf/3rX/HRRx/hk08+weDBg9X3bTYbUlJS9ComMYBeCdlUHYhByOuMLFc/og9p5zly/StbQx9vps45cjqdKCsrQ0JCgs/7+/btQ1paGrp3746bb74Z+fn5rX5PTU0NSktLfX6IsSghWy7qRHR8C8Hzr0sjVC62sh0tsu+vYDN1cPT888+jvLwcN9xwg/re8OHDsXTpUqxZswavvvoqDh48iFGjRqGsrKzF75k/fz5iY2PVn/T09GAUn0CPB8/KVsWZk9q0L1S3Gh0bWsjbTSPr8SHLeojFtMHRO++8g8ceewyrVq1CUlKS+v748eNx/fXXY8CAAcjOzsZnn32GkpISrFq1qsXvmj17Ns6ePav+HDlyJBirENICOp2phUBgPBOyW31J2kjaliNJZ1D3tMLLtsP44ppzpNWKFStw++23491330VWVlary8bFxaFXr17Yv39/i8vY7XbY7Xa9i0laEdi8OPT4ENGIeJ1RBCwTEYcsoYQYrbbyMV3L0fLlyzF9+nQsX74cV1111TmXLy8vx4EDB5CamhqE0pG2CuiEpqH8wlGf5C5Qt1qojLrSm7ovOZdDf3JOAukm3/7ii2vLUXl5uU+LzsGDB7Fr1y4kJCSgS5cumD17No4dO4Zly5YBcHWlTZ06FS+99BKGDx+OwsJCAEBkZCRiY2MBAPfddx8mTJiArl274vjx45g7dy6sVismT54c/BUk50R3O3IQIsFexOYrMwqVbjVJCDE7vYS4thxt27YNgwcPVofh5+TkYPDgwZgzZw4AoKCgwGek2euvv476+nrcddddSE1NVX/uvvtudZmjR49i8uTJ6N27N2644QZ06NABmzZtQseOHYO7cqRVgfWT0wzZouI7caCcOSXB5mnVlS46avRSjuODutWMwbXlaMyYMa1GvUuXLvV5nZeXd87vXLFiRYClIsGh14NniQiE2CVCFIIQXig60pPpco6IHPSaIZsSssUiUvVMx4Y2QsxZZYQmwbMcxwfdExiDgiPCVaBPD6F6QQzqBVWkhGw6ODRRt5t80RHvAhhCjHNPPhQcES70ymug+kAsXOdakbRlINiknQRS0uND2liWMwqOCBeB3dXTDNmiEWMviFEKs6MWN3OSL4GeLwqOCBcB5TVQ7S0cIWZVlrRlgBf5rrWSHg+SrhZvFBwRvgJNOiJCoAkX5SHtJJCNgmdZEvapW80YFBwRLuiElhMlZJufEK2AwSDJ8UEJ2cag4Ihw4amANZzRXlc9mgRSDELsBklbBohe5O52lS6BnjMKjggXgXXDyFWpyUCMEU7UcqQn6S62kh4Qcq4VfxQcEa4CT8imqkEEYnbF0LGhhbzdNHIeD2Kee+ZHwRHhQ6cZsolYxBqtRrQImXxASY4XGgxhDAqOCBdidMMQ/YhQQcudUxIsksQMTUm7Yi7UcqQvCo4IFwHVU94J2XQBFILatC/UDNkkINJdbeVM2KfD3hgUHBEu1LlUAuxWo3pBDJ7HwYhQioZXdHBoEirzHMlyeOj1KCbii4IjYj4+LUdEJCLtD1laBoItVBJ8ZVs92daHNwqOCBcBzXNEFz3hMBGaaSRtGQi2UNlu0iQyS7IaorH5s3BJSQk+/PBD/O9//8Phw4dRWVmJjh07YvDgwcjOzsbIkSONKieRjH7nM9UMIhCjW80XTRAaGOkGS0h6PASWokBa0qaWo+PHj+P2229HamoqnnzySVRVVWHQoEG47LLL0LlzZ6xduxaXX345+vbti5UrVxpdZiIB3R48K2d9ZzqeXUIJ2WYXKt1qstQdQgyGkFCbWo4GDx6MqVOnYvv27ejbt2+zy1RVVWH16tVYuHAhjhw5gvvuu0/XghI5BZqQTXklpEUULGkkaUK25HWF9MFskLUpOPrxxx/RoUOHVpeJjIzE5MmTMXnyZJw6dUqXwhF5BdQNQxc94YgxqzIdF3qQ9vSS9Nl7cqyFeNrUrdahQwf85z//gdPpbNOXniuQIgQBNQVTdSAaIWZVbnJVp+MkEPK1RMh5PASUokBa1ObRapMmTUJ6ejoefvhh7N+/38gykRCg10gRWe7+ZMF3rhU6FvQQ2EhSEmwipPvJqM3B0cGDB/HHP/4RK1asQO/evTF69Gj885//RFVVlZHlI5ILtP6ly6EgRNgRNJRfF9IMcW9M8pZFSsjWV5uDo/T0dMyZMwcHDhzAV199hW7duuHOO+9Eamoq7rjjDmzdutXIchLJeEZYaPwgNH6eGEKIbrXGpE2eMZa8m03OFZN3f/GlaRLIsWPH4u2330ZBQQGee+457N69GyNGjMDAgQP1Lh+RlHpC+9t0RDWBkCghWz7S9ao1vrGSrC6Rbn9x5tckkI1FR0fjsssuw+HDh/Hzzz/jxx9/1KtcRHLaqyW5m8bNTqwHz9KxoYWnFVDuq60MR4f3zPRy763g09RyVFVVhWXLlmHMmDHo2bMnVqxYgZycHBw6dEjn4hHZ0QktBzEuNGKUwuzknQRSzqH8bpRAry+/Wo42bdqEJUuWYNWqVaitrcVvf/tbfPXVVxg7dqxR5SOS0twN07h1QK76zbSEuKA2OTbo4NAiVBKyZVhLOsSN0+bgqG/fvti7dy8GDx6M+fPn43e/+x1iY2ONLBuRmPYEXrnv/sxK2gtqCJOvHcKYY9ThcKCurs6Q7z7n33YydIq2AgDqaqpRbW3bXIRmFhYWBqvVavjfaXNwlJWVheXLl1PSNdGF5jseulUSGiVkS0CEVsAgCDQhmzGGwsJClJSU6FMgTWUA5o1NAgCcLDiK0xbJd1qDuLg4pKSkGNqV2Obg6OWXXzasECQUubvV/O5XO8drwoMQMSslZOtC2oRsnQ9Sd2CUlJSEqKgoLjk/jDHUFZUBALp2bA+bVVMasWkwxlBZWYni4mIAQGpqqmF/q03B0bhx4zBv3jyMGDGi1eXKysrw97//He3bt8ddd92lSwGJ3CSrfkOWZ2YGmiHb7JgQka4R9AueHQ6HGhjxfFyWkzEothoAQEREhPTBEeB6jisAFBcXIykpybAutjYFR9dffz2uvfZaxMbGYsKECRg6dCjS0tIQERGBM2fO4Mcff8SGDRvw2Wef4aqrrsJzzz1nSGFDxoGvgbiuQIfzeJfEMJoTeCV9eKTpaZ3U00DyXuSDQ/ZutUC4c4yioqI4l8RLCO0v93avq6vjGxzddtttuOWWW/Duu+9i5cqVeP3113H27FkArjvFvn37Ijs7G1u3bsX5559vSEFDxpEtwD+vcf3/vLN8y2Ig/eY5IiIRarQa0UTarWjA8SHS8HlxSmK8YGz3NrfB2e123HLLLfjkk09w5swZnDlzBsePH0d1dTV2796N559/3u/AaP369ZgwYQLS0tKgKApWr159zs/k5eXhwgsvhN1uR48ePbB06dImyyxatAjdunVDREQEhg8fji1btvhVLp5+3foZ7yIEhefxITRDtgzEGK1GOUd6kPfBs3Q8kLbT3EEZGxuLlJQUhIWFaf7jFRUVGDhwIBYtWtSm5Q8ePIirrroKY8eOxa5duzBr1izcfvvt+Pzzz9VlVq5ciZycHMydOxc7duzAwIEDkZ2drSZwie7UqZO8ixBc/j9czYhSkABpDnaNKAQJiLRbUcbjQ8JVEgXX7K3x48fjySefxDXXXNOm5RcvXoyMjAy88MILOP/88zFz5kxcd911ePHFF9VlFixYgBkzZmD69Ono27cvFi9ejKioKCxZssSo1dAVqy7lXYSgcLc0yHZvGqq0PirPmFIQPch/bsp2vLRtj40ZMwazZs1q8v7SpUsRFxcHAJg3bx4URYGiKLDZbOjWrRvuuecelJeX61hesZkqtX3jxo3IysryeS87OxsbN24EANTW1mL79u0+y1gsFmRlZanLNKempgalpaU+P7xYasu4/e1gooRsOQl1QZWxpSAIxHiIsBFodn1/9OvXDwUFBTh06BCeeeYZvP7667j33nt5FytoTBUcFRYWIjk52ee95ORklJaWoqqqCidPnoTD4Wh2mcLCwha/d/78+YiNjVV/0tPTDSl/W9jqQiQ44vBJYhwhRoaJUAYJaJ+9XnCNDg+6sWqdzWZDSkoKOnfujBtvvBE333wzPv74Y97FChq/nq0mq9mzZyMnJ0d9XVpayi1AsteHRnDkFnhCNlVwIlD3gkhXVAqWtJF2sxn7bDXGGKrqHDp/a+scTobqOgfsNuPbOSIjI1FbW2v43xGFpuCopKQE7733Hg4cOID7778fCQkJ2LFjB5KTk9GpUye9y6hKSUlBUVGRz3tFRUWIiYlBZGQkrFYrrFZrs8ukpKS0+L12ux12u92QMvurvUPe4fve5G26D02UkC0f+UarGauqzoG+cz4/94IGWPXHEYaeedu3b8c777yDSy+91MC/Iha/w83vv/8evXr1wjPPPIPnn39efa7MBx98gNmzZ+tdPh+ZmZnIzc31ee/LL79EZmYmACA8PBxDhgzxWcbpdCI3N1ddRnSxztAIjtz8r38pb0BkYl1P6eDQQh0sIdS+1AHlK/pl9+7daN++PSIjIzFs2DBkZmbib3/7G+9iBY3fLUc5OTmYNm0ann32WURHR6vvX3nllfjd737n13eVl5dj//796uuDBw9i165dSEhIQJcuXTB79mwcO3YMy5YtAwDccccd+Nvf/oYHHngAv//97/H1119j1apV+PTTT33KN3XqVAwdOhTDhg3DwoULUVFRgenTp/u7qlzEKJW8ixAUej14lio44kHHgh48rYCyMfb4iAyz4sfHsw39G405nAw/FZT61a0WExOjTuLsraSkBLGxserr3r174+OPP4bNZkNaWhrCw8N1KbNZ+B0cbd26Fa+99lqT9zt16tRq0nNztm3bhrFjx6qv3Xk/U6dOxdKlS1FQUID8/Hz19xkZGfj0009xzz334KWXXkLnzp3x5ptvIjvbc0DeeOONOHHiBObMmYPCwkIMGjQIa9asaZKkzcOZEwUoPXkcTmc9nA4nGHOAOR1wOurBnAy1ZcUYwLuQQeZ/NwxdAEXjnYzNdyR/41ZFOla0CJ3Npu+KKoqCqPDgpvE6nE5EhPn3+IzevXvjiy++aPL+jh070KtXL/V1eHg4evToEXAZzcrvPWm325sd6v7LL7+gY8eOfn3XmDFjWh3l0tzs12PGjMHOnTtb/d6ZM2di5syZfpUlGPZ++gpGHGrbhJe1zAqZ43TNTfehU3ObhvcuoQfPSkS2fjXZ64427q4777wTf/vb3/DnP/8Zt99+O+x2Oz799FMsX74cn3zyibFlNBG/g6OJEyfi8ccfx6pVqwC4KsP8/Hw8+OCDuPbaa3UvoFTs7XAGMXBCgRMWOGEBgwVOxaK+Z4UDnVgRLJJX9LLXU6FKqJYjoom8E7RSyyIAdO/eHevXr8fDDz+MrKws1NbWok+fPnj33Xcxbtw43sUTht/B0QsvvIDrrrsOSUlJqKqqwujRo1FYWIjMzEw89dRTRpRRGiMmPwzg4VaXOVGQD7zWn4KjNqKcI/7E3QPilkxkmidoFZ2EwZDWNbroooua7VpzmzdvHubNm6fx2+Xgd3AUGxuLL7/8Ehs2bMD333+P8vJyXHjhhU1mribaKBZX/7FFYa6TWboaypff3TASVnBm55NzRI8PMT3aiiZCO8swmrPHLrnkElxyySV6loUAsFo9u4Q5HVCscs7TqX0WXpoEUjTee0CoeY4okA4I131piMYjXeUi2/rw5veV9+WXX272fUVREBERgR49euA3v/kNrFb/MuiJi8XiGZLpcNTDJmtwpHUSSLrgiU2gliM6UrQJlW41Oj5Ia/y+8r744os4ceIEKisrER8fDwA4c+YMoqKi0L59exQXF6N79+5Yu3Yt12eUmZXiFVQ6nU6OJTGWtM9vCkGixquKqAUTXmgkZFO+ImmN3zNk//Wvf8VFF12Effv24dSpUzh16hR++eUXDB8+HC+99BLy8/ORkpKCe+65x4jySs9i8QqOHPUcS2IwzfUSVXCiYRAk54haBnQRKjGlEA9LJsLyu+XokUcewfvvv4/zzjtPfa9Hjx54/vnnce211+LXX3/Fs88+S8P6NfLOOXI6g/sQQx4oIdv8fOY54lcMUDikL9m71WRbPaIvv1uOCgoKUF/ftEWjvr5enSE7LS0NZWWh9XR5vSheOUdyd6tpbbpvVMHR9VAoXCeBbBI408GhhafLW7bwgY4H0nZ+B0djx47FH//4R59Zqnfu3Ik777xTfWLv7t27kZGRoV8pQ4jF4tVy5JC35Uhz0ie1HJEW0bGhB7W7SbbYSEI+I0Wla+rjy+/g6K233kJCQgKGDBkCu90Ou92OoUOHIiEhAW+99RYAoH379njhhRd0L2wo8B7lx5zy5hzpdxmjCyJv4nSr+aKEbG2k3Wr00GriB79zjlJSUvDll1/i559/xi+//ALA9SC73r17q8t4P0yW+MdiUeBkCiwKg0PiliMPevCs2YmakE0CI1Kgqw86Pkjb+d1y5NanTx9MnDgREydO9AmMSGAURYGzoVpiMuccUbealPjmqdCxoQfPuSlZeEQ5aQCAadOmQVEUKIqCsLAwJCcn4/LLL8eSJUua5Ll+++23uPLKKxEfH4+IiAj0798fCxYs8LlxHzFiBO644w6fzy1evBiKojR5ePy0adMwatQoAEBeXh4URUG/fv2aNATExcU1++D5YNI0w+DRo0fx8ccfIz8/H7W1tT6/W7BggS4FC2UOWGCDU+rRarolZIdoBScSYeJVGsqvC5qDzHz83Vfjxo3DP/7xDzgcDhQVFWHNmjW4++678d577+Hjjz+GzWbDhx9+iBtuuAHTp0/H2rVrERcXh6+++goPPPAANm7ciFWrVkFRFIwdOxYffvihz/e75znMy8vDtGnT1Pfz8vIwdepUn2V//fVXLFu2DNOnT9e49sbwOzjKzc3FxIkT0b17d/z888+44IILcOjQITDGcOGFFxpRxpDD1JYjiXOOtF65hLkSEzffpFBuxQCFQ/qQd/6fRsGzVKvp34lnt9uRkpICAOjUqRMuvPBCjBgxApdddhmWLl2KyZMnY8aMGZg4cSJef/119XO33347kpOTMXHiRKxatQo33ngjxo4di6effhqFhYXqd65btw5z5szBs88+q3724MGDOHz4cJO0m//3//4f5s6di9/97new2+1aN4Du/O5Wmz17Nu677z7s3r0bEREReP/993HkyBGMHj0a119/vRFlDDnOht3icMjbreYW+MVUqhrOlES9mFJCdmBk61UzPCGbMaC2Iug/Sl2lLpHepZdeioEDB+KDDz7AF198gVOnTuG+++5rstyECRPQq1cvLF++HABw8cUXIywsDGvXrgUA/Pjjj6iqqsJtt92GU6dO4eDBgwBcrUkRERHIzMz0+b5Zs2ahvr4er7zySsDroCe/W45++ukndaPYbDZUVVWhffv2ePzxx3H11Vfjzjvv1L2QocYdHEmdc9Twr/85KnTBExnfhOzGL+lYCYR0wZHRx0NdJfDXNGP/RiNhAPoD2DP1ZwBxAX9fnz598P3336uDrc4///wWl3Mv065dOwwbNgx5eXmYPHky8vLycMkll8But2PkyJHIy8tDRkYG8vLykJmZ2aR1KCoqCnPnzsVDDz2EGTNmIDY2NuD10IPfLUft2rVT84xSU1Nx4MAB9XcnT57Ur2QhzJ2QLffjQ/R58Kx09bcJiROCUD6aHjzTHMl9dkm1djqtDGPMJxG/ra3CY8aMQV5eHgBXXtGYMWMAAKNHj/Z5v6WR7Lfddhs6dOiAZ555RnPZ9eZ3y9GIESOwYcMGnH/++bjyyitx7733Yvfu3fjggw8wYsQII8oYcpxKQ8sRk7/lKJifJMbwnedInBmyqVdNG2lb3IxuWQyLAh46ru93nkNtvRN7i8oAW6Qu3/fTTz8hIyMDvXr1Ul+PHDmy2eX69u2rvh47diyeeuopHDt2DHl5eWp33OjRo/Haa6/hwIEDOHLkiDpRdGM2mw1PPfUUpk2bhpkzZ+qyLoHyOzhasGABysvLAQCPPfYYysvLsXLlSvTs2ZNGqunEGQIJ2W40lF8C3sGRVLfjoU2+fWlwy6KiAOHt9P3Oc7E4wcIcuky78PXXX2P37t245557cMUVVyAhIQEvvPBCk+Do448/xr59+/DEE0+o740cORLh4eH4+9//jurqagwZMgQAcNFFF+HEiRNYsmSJ2v3Wkuuvvx7PPfccHnvssYDXRQ9+B0fdu3dX/79du3ZYvHixrgUigBOuWbKlfraabk33FCyJhB48a37S3n/QVA+qmpoaFBYW+gzlnz9/Pv7v//4PU6ZMgdVqxWuvvYabbroJf/jDHzBz5kzExMQgNzcX999/P6677jrccMMN6vdFRkZixIgReOWVV3DxxRerT3oIDw/3eT8sLKzVcj399NPIzs42dN3byu+co+7du+PUqVNN3i8pKfEJnIh2nqH8Es9zpPn5TaFcpYlJmG4YmuRPF9JOAiklbfPFrVmzBqmpqejWrRvGjRuHtWvX4uWXX8ZHH32kBjbXXXcd1q5di/z8fIwaNQq9e/fGiy++iIcffhgrVqxocnyMHTsWZWVlar6R2+jRo1FWVtamJ2dceumluPTSS5t9uH2w+d1ydOjQoWYfa1FTU4Njx47pUqhQ5x6tJvckkC7+x0aUdCsan5wjkYarEU2ECXZ116jukHU1z2Hp0qVtnn161KhRWLNmTZuWnTdvHubNm9fk/blz52Lu3LlN3h8zZkyzCd+ff/55m/6e0docHH388cfq/3/++ec+w+0cDgdyc3PRrVs3XQsXqtw5RwiBbjVifj6TQHIrBZoeVHSMBUS6diOqdIgf2hwcTZo0CYDrzrDx9N9hYWHo1q0bXnjhBV0LF6qcihVggDMEHjzrd0tD45YjqvCEIlZPDB0bWmh+7qHJUKszaU2bgyN3cnBGRga2bt2KxMREwwoV6kIi56jhX8nr35AgzgzZlHOkB+0TtIpOvuNBvjUSh985R+6pwIlx1JwjJnFwpHUSSKoOhOP7bDVx5jkiGsm6GSlhn/ihTcHRyy+/3OYv/POf/6y5MMSFuQcRStxypBldAIUjzi4RpiBSkK9bjY4P0nZtCo5efPHFNn2ZoigUHOnAqVhCJ+fI709QBScq4S6m4kRtpsI0Dg8PRdy7lLXOiGJywdjubQqOqCst2Bqercb7xDOQ5rlUaCi/cIQZ+i3x+RJM0iZk69it5p7MsLKyEpGR+jy6g7RdZWUlAJxzUslA+J1z5M2TNyLbWSQIiSt7ujuViDB3r/KeL8Ek71bUb82sVivi4uJQXFwMwPVkeR7Xwdo6B1h9LZyKgurq6qD//WBjjKGyshLFxcWIi4tTJ6w0gqbgaNmyZXjuueewb98+AECvXr1w//3349Zbb9W1cKGL/2XGaNrjPmo5Eo06uon3TRIl3OpMsnqoyTQggX1dSkoKAKgBEg/1DieKS2tgUQBbZei0YMXFxanb3yiaHjz76KOPYubMmbj44osBABs2bMAdd9yBkydP4p577tG9kKFGPWclbjlS0YNnpcH/Utp4Ekg6VrTQPpI0tCiKgtTUVCQlJaGuro5LGfJPV2DeR1vRzm7DxzMv4VKGYAsLCzO0xcjN7+DolVdewauvvoopU6ao702cOBH9+vXDvHnzKDjSgTrPESSeIbvhX//nUqHWAdFQDCIXeecga/zgWX0OXKvVGpSLdbN/O6wex8ociK23ICIigksZZOX3g2cLCgowcuTIJu+PHDkSBQUFuhQq5Llv2ZzyXnWkTfoMQWr+GO99SVGaLqTdjE0Gc5iftPtKAH4HRz169MCqVauavL9y5Ur07NlTUyEWLVqEbt26ISIiAsOHD8eWLVtaXHbMmDFQFKXJz1VXXaUuM23atCa/HzdunKay8eBpOZKX5oRsqg2Eowa63C831K2mJ+45ZKQNBLkxkZDf3WqPPfYYbrzxRqxfv17NOfrmm2+Qm5vbbNB0LitXrkROTg4WL16M4cOHY+HChcjOzsbevXuRlJTUZPkPPvgAtbW16utTp05h4MCBuP76632WGzduHP7xj3+or+12u99l448q96bku/uTBu+dQcGQLkKlW02G+tVzY0L01uaWoz179gAArr32WmzevBmJiYlYvXo1Vq9ejcTERGzZsgXXXHON3wVYsGABZsyYgenTp6Nv375YvHgxoqKisGTJkmaXT0hIQEpKivrz5ZdfIioqqklwZLfbfZaLj4/3u2zcSVzZa+5Wk3ibmBXtEcnImpDduO6Q6MClVj79tbnlaMCAAbjoootw++2346abbsK//vWvgP94bW0ttm/fjtmzZ6vvWSwWZGVlYePGjW36jrfeegs33XQT2rVr5/N+Xl4ekpKSEB8fj0svvRRPPvkkOnTo0Ox31NTUoKamRn1dWlqqYW304+lWk+jsbUGgCdk0lJ8/dXQT53I0RceGFvJuNfnqDvOvgbja3HK0bt069OvXD/feey9SU1Mxbdo0/O9//wvoj588eRIOhwPJyck+7ycnJ6OwsPCcn9+yZQv27NmD22+/3ef9cePGYdmyZcjNzcUzzzyDdevWYfz48XC08DiO+fPnIzY2Vv1JT0/XvlK6cF1mFIlbSfQaLizvFjIPYZLrJT5feOC+P8k5UbeacdocHI0aNQpLlixBQUEBXnnlFRw8eBCjR49Gr1698Mwzz7QpmNHbW2+9hf79+2PYsGE+7990002YOHEi+vfvj0mTJuE///kPtm7diry8vGa/Z/bs2Th79qz6c+TIkSCUvmVMCZ2WI7/R40OERQnZchAnwV5nEh4PwowUlZDfo9XatWuH6dOnY926dfjll19w/fXXY9GiRejSpQsmTpzo13clJibCarWiqKjI5/2ioqJzzn5ZUVGBFStW4Lbbbjvn3+nevTsSExOxf//+Zn9vt9sRExPj8yMCCc9llfbWBok3CgmMzCdMEIXOTZlM60nRkd78Do689ejRAw899BAeeeQRREdH49NPP/Xr8+Hh4RgyZAhyc3PV95xOJ3Jzc5GZmdnqZ999913U1NTglltuOeffOXr0KE6dOoXU1FS/yseb1N1q6v8F9uBZwp8w3WpN0LGihXqKCbc/AyRh3SHhKglDc3C0fv16TJs2DSkpKbj//vvx29/+Ft98843f35OTk4M33ngDb7/9Nn766SfceeedqKiowPTp0wEAU6ZM8UnYdnvrrbcwadKkJknW5eXluP/++7Fp0yYcOnQIubm5uPrqq9GjRw9kZ2drW9kgUxOyJT7y9Wo5kq3+NiNxHiIs7/nCA//9aSwZ1k/cGxPz82ueo+PHj2Pp0qVYunQp9u/fj5EjR+Lll1/GDTfc0GS0WFvdeOONOHHiBObMmYPCwkIMGjQIa9asUZO08/PzYbH4xnB79+7Fhg0b8MUXXzT5PqvViu+//x5vv/02SkpKkJaWhiuuuAJPPPGEaeY6YuppK29lr1vTvbybyHS4DyduMlSbDg4tPBdc2a648h0P4tyYyKfNwdH48ePx1VdfITExEVOmTMHvf/979O7dW5dCzJw5EzNnzmz2d80lUffu3bvFVpXIyEh8/vnnupSLH/lbjtwCTzmSfxuJTpzDVJiCmJq0W7HRgSrtehJdtDk4CgsLw3vvvYf/+7//4/aQPSIPSsiWhzApKuJEaaYm7rxV+pJhpCt1qxmnzcHRxx9/bGQ5iDdF/pYjzwU1sIRsGSo4s2PCTrZCx0Yg5Lvgyvf4EDfppl0QQECj1YgxPIGDPCdvE5oDP4m3icnxr57p2NCTdBfcJjdW8pAvkOWPgiMhNRzpzMm3GEFAJ7X5CROSNAm4hSmZqcjbYN0o50iC9ZRhHURFwZGA1NFqEh/5mvNUqFtNOOKMbqJjQQ+hMuuyDHUHjVYzDgVHAjP/qdsy7RdUmbeKWQl6MZX45iIYRNudAZPweBDnxkQ+FByJSE3I5lwOA2me50jivAGz474vZD5hgkjaGbLpxor4gYIjAYVEQrZmtE1EI05MIkxBTE3arShhTpr510BcFBwJqaHlCPImZOs3PwdVD7ypwTzvpn1xojRT88xzJF3TkXTUfUW7SncUHAkotBKy6cGzZifONEd0bOhJvguufIM5PDcmXIshJQqOBKQe5+Y/d1tEM2TLR7gKOgSmwjCCvClH8tYd1MqnPwqOBMTcCdkUCDRFQ/mFI8xxKvHFL6ik3YyNH0zMpxR6okPeOBQciUziI1/7/BzybhOz8hymvO9eaSSjHoTJISNtQDlHRqHgSEDunCOpW0V0SsimOoE/YR5+KV/DAFfc96feJLzZFCffTz4UHAkphB48628NLPE2MTv+FTQdG3rwjFaTjbxd8tTKpz8KjgTE1ANdnpNXP/LNVWJ2wuQcNUaBtCa01cyD9pVxKDgSmMx1u+a704bPMQnva81KnG41iU+YIBJmf+pNxkkgqVvNMBQcCSkE5jnS/uTZhs9RdSAa/sOJ5bv48cV7f+pN4uNBtl0lAAqOBORpFZH3ZNY8CaQqBJLWTYZ7vCrxzUQwMVlHQEl4fMicl8obBUdCk/fA19x0L22bv3mJVj+HxGhPA4m2P40iw/Eh7YSdAqDgSESK/N1q2rlzjlyHLlUK/Gmfs0pvvvlodPZoQ3ks5uG5V6S9pTcKjkQmcXCk+YJKDxMSjjAVdKNENkXi8ycYuO9PvUmYkO0m2Z4SAgVHQpL/UNerd0yGpnGil4aWI5oKQxfy1ULyHQ/CTqMhAQqOBMRCYBJIN/8TsinnSDTCHKWsUZdrCJw/RgiFegeQJPij6tAwFBwJiRJKW6RW3O5Dl7YRb+qcVYJU0EyUgpiUtD3XEgZ9gY/6JS2h4EhATM3Hlu9kdtN+QaVbJdGIczFtPEGovOdPMMh3wZX34Xv8zz35UHAkJPkrd+1zQNIM2aQFjbvVJD5/jBQ6s2WY//iQ+P6ZOwqOBCbzgc8CbW5QqOtRFJ6h37yvpo0SsmU+gQwkbZKvhMeDtPtKABQcCUn+liPt3FdimudIHILlHMHa8D9OvgUxKQljiAa+KybDjZUw02hIiIIjAaldRvLWUgHMc9Q4OKILoCi4V8+sUcuRBBc/nqS73kpcn8q2q0RAwZGIQqBy157X4L4AUsuRKMS55jTOZBOmYKZCI6DMg45w41BwJDR5D33NFXCjofwyNI2bnSd9TIwZsj05RxzLYmLiBLt6k2/FRJtGQyYUHAlJ/m61gCkUHIlCnGdx0Wg1fUh6wZW4PpVuXwmAgiMRhcCBHmi3Go1WE5Aox21D4CzzPGHBIN8FV8KEbN4FkJgQwdGiRYvQrVs3REREYPjw4diyZUuLyy5duhSKovj8RERE+CzDGMOcOXOQmpqKyMhIZGVlYd++fUavhm5CISEbeiVkS72NzEGYIKTRHFgKjVbTRJypGYwlQ3CEENlXPHAPjlauXImcnBzMnTsXO3bswMCBA5GdnY3i4uIWPxMTE4OCggL15/Dhwz6/f/bZZ/Hyyy9j8eLF2Lx5M9q1a4fs7GxUV1cbvTo6kf/ZaoG3HFFCtig0T+hpEHeyPt1XayPtVmscPEuwpkzWLlABcA+OFixYgBkzZmD69Ono27cvFi9ejKioKCxZsqTFzyiKgpSUFPUnOTlZ/R1jDAsXLsQjjzyCq6++GgMGDMCyZctw/PhxrF69OghrFDgWAl1GmufnaDKUX95tZBbCzLXSaIZsiS/zhpI3yVfeukO6XSUArsFRbW0ttm/fjqysLPU9i8WCrKwsbNy4scXPlZeXo2vXrkhPT8fVV1+NH374Qf3dwYMHUVhY6POdsbGxGD58eIvfWVNTg9LSUp8fnjwHujwnr96YhBWc2fGvoBs1R0rc8hoM/PenztzHg8U1SagMdQcd4sbhGhydPHkSDofDp+UHAJKTk1FYWNjsZ3r37o0lS5bgo48+wr/+9S84nU6MHDkSR48eBQD1c/585/z58xEbG6v+pKenB7pqAXJ3q3EuhoG0T3sv792fWYn2CANqOQqMOA8SNoo8+YoBP4aJtIh7t5q/MjMzMWXKFAwaNAijR4/GBx98gI4dO+K1117T/J2zZ8/G2bNn1Z8jR47oWGL/hcJTxTXnHFG3mnhEeVBpo3mOZLj48SDvZvM9PiwS1B2i5fvJhGtwlJiYCKvViqKiIp/3i4qKkJKS0qbvCAsLw+DBg7F//34AUD/nz3fa7XbExMT4/PAlf7eA9ll4fYMjqyLvNjILcWZU9p09XeabCyN5BoLw3p86a3RjJdPxwf3GREJcg6Pw8HAMGTIEubm56ntOpxO5ubnIzMxs03c4HA7s3r0bqampAICMjAykpKT4fGdpaSk2b97c5u/kTj3Q5Tl5ddOkgiOi4F5BN5o9Xeabi2Dgvj+N4m51luDGSuYRzbzZeBcgJycHU6dOxdChQzFs2DAsXLgQFRUVmD59OgBgypQp6NSpE+bPnw8AePzxxzFixAj06NEDJSUleO6553D48GHcfvvtAFwjZmbNmoUnn3wSPXv2REZGBh599FGkpaVh0qRJvFZTE5m7BbQP5UfTDzImcU0uPtEOU2o5Coyk7UZo3OpsEe3A1UDefcUf9+DoxhtvxIkTJzBnzhwUFhZi0KBBWLNmjZpQnZ+fD4vF00pw5swZzJgxA4WFhYiPj8eQIUPw7bffom/fvuoyDzzwACoqKvCHP/wBJSUluOSSS7BmzZomk0WKqyEhm3MpjKTOz6H1C7xbjig44kqchOxGMyBLcPHjQtbNpkYS8uQrCjONhoS4B0cAMHPmTMycObPZ3+Xl5fm8fvHFF/Hiiy+2+n2KouDxxx/H448/rlcRg0p9cKYEJ2+LAk7Itnq954QJxxZIQ5gKWk3IppajQAjzIGHd+R4fMgRHbrLtKRHQFUVI8idka9dczhFtJxHwr6Ab5RzRcREQ/vvTIFIFRzKsg5goOBJQKDxbTfMIJ/fsvV5drYyeocWVMEdpo5Yj6lbTRtoZsptMA2J+AedukhZRcCSgUDjOA6+APYeu00kXQZ5Eu5hSt1pg5N1qjYMjeW6q+E+jIR8KjkQUAo8/0L5m7kcAeD1kxekItDgkAOJM0tto7I7E54+R1NYI2S64MrYc8S6AxCg4EhBTR6vJf+hrf/CsJyE7FLaTGXC/mDbpY6DjIhD8g12DuIfyS9ByJOt8nSKg4Eho8lbu2m/qm3ayO53mr+RMTZjDlEar6UHemw35gueAp0QhLaLgSETqs6E4l8NAmm94mpkhm2aJ5UutoIWpod0J2ZyLYVLSnk5qwr6r1VmGZ6u5iXPuyYOCI6HJc/I2FmgSr+IzCSS1HPHkyVHhrPFoNQm6TXgQJ4fMIDLlHMl7ieCOgiMhuRNK5a/c/a+gmrYc0Wg1QXC/msrXbcKTrJNAyjTPkTgPfZYPBUciUkLh8SFaP0jdaqIRZvM3niFbmIKZjCgtgXprMlrN/MeHaNNoyISCIwEx+aqlpjQ/coKCI9GIN2CGErIDIX9CtjzBkRsFR/qj4EhI8s/TEnASr88M2TTPEU+i3b3K+OysYJJ+1mV1KD8dH6RlFByJSK2U5D15NSfxqhdir+CIco6EwP1a2uiqTo8P0UbaPJbGx4cE9au0E3YKgIIjIVHl3rJmHjxL24krcbZ+42NDnJKZkXwtR0271czeJS/eNBryoOBIaOY+cVvjmdlV44NnaRJIYXhuyMWYIZuBErIDYfaAoUWNZteXoeWIGIeCIxE13NnIfOpqn9nV0+jvZA2j+kJgygOxiTJLr7wJt8EkXoK9znxajjiXJUBmL7/IKDgSkFo5SXzkB5z0qXgufdLe6ZoM74YjFc1zFBB5n9flGzzLkJAtTKuthCg4ElIIPXjW3xqYeVop1Af0UnDElTCbX96rOhfSJfk2k5AtyqEbKMn2lBAoOBKQZ7CaLKduU9rXzFPBOUHdaiIQZ3RTQ86RpSGnhI4L0hz3s9UkePie+ddAXBQciUiioaYt0dyt5tU6oE6WSRdBroRpsJFwBuRg826Fla+npmmlY/ZWZ9HmGJMJBUcCUruLOJfDWAEm8SqK+ml6tpoYhKmfFarWtPKOFYTZn3qRMHgW5b5ERlSLCEn+GbLdtN/xeFdt1HLEkziZG8zrvwiJ88dIsib5Mne3mkBHbqBk3Vc8UXAkohAYbaP5usW8Q6KGKQ+o5YgrYR43IWHLQLCFxBaT6fiQYBVERcGRyCQ+8LUn8XquxO7vcFLOEVeiJWTTg2e188k54lgOQzQKni0yzHMkzBxj8qHgSEQhkZCt8eFqPtm/DblZ1HIkBFFajmi0mnbeZxL3/am7hrWzyFO/CtNqKyEKjoTkPtLlr9w1z5CteEaryVDJmZloI34o/0If/FsCdcaatixKlHXEuwDSoeBIZLKct80IfNU88xxRt5oY+Mckvhc/Cpr9J1icawyZZsjmXQCJUXAkIMb/KmM4zdPee9Xe6pQH9OBZrjw9pGI8eDYUBjQYxaclRbpqqGnCvtmDQepWMw4FRwJSLzISt4hon5/DqzZQGr1HuOJfQTfkHMk0GinIfOY54r4/deaeMNHiGcpvdpSQbRwKjggXmmd2bWaGbJoEki/h8jbck0CavVmAM/kuuPIGz9IFsgKg4EhAjLoFWtHcs9VoO/EkzOaneY5IGygSHR/CnHsSouBISKGzWwKZIdt9b6swh17FIRpozh/THQVHgfLtVuO9P3XWJHg2f3Ahzhxj8gmdq7AZmf3MbYXmJN5mErKdEm8nM+FePatXCupW08q7i5T7/tSdb/ayTMGzbHGsCCg4ElEIJBqriYR+n9RN5zmiiyBf4mz9pi0DRDtpL7gW72eriXP0akJ1n2EoOBISXfRb1ExCNuUc8aU5ud4olLOnmdSnkoQ5aWptKMq5JxEhgqNFixahW7duiIiIwPDhw7Fly5YWl33jjTcwatQoxMfHIz4+HllZWU2WnzZtGhRF8fkZN26c0auhHwmbfRvTXgk3bTmieY740j4tg86Yb0lkPn+M4vP4EP57VGcSPltNlDnGJMQ9OFq5ciVycnIwd+5c7NixAwMHDkR2djaKi4ubXT4vLw+TJ0/G2rVrsXHjRqSnp+OKK67AsWPHfJYbN24cCgoK1J/ly5cHY3V0Iv+BHngSr+fSx0LgMStmwD+B1/3srIZnq1Fw5DefB8/y3p16a9RyJFXLomz7SgDcg6MFCxZgxowZmD59Ovr27YvFixcjKioKS5YsaXb5f//73/jTn/6EQYMGoU+fPnjzzTfhdDqRm5vrs5zdbkdKSor6Ex8fH4zV0Ycif7eaO4na6vcM2d6BED14VgiibP7GFz+Jzx8SAO8ZsjkXJVCUUmAcrsFRbW0ttm/fjqysLPU9i8WCrKwsbNy4sU3fUVlZibq6OiQkJPi8n5eXh6SkJPTu3Rt33nknTp061eJ31NTUoLS01OeHL/lzJtzntMXvSSAbgiOLRZ3niC6CfIkzS698OSXBJvcWa9qtZnbCdGlLiGtwdPLkSTgcDiQnJ/u8n5ycjMLCwjZ9x4MPPoi0tDSfAGvcuHFYtmwZcnNz8cwzz2DdunUYP348HI7m58OZP38+YmNj1Z/09HTtK6WDUDjQHWoSr8ah/IoVasuRxI9ZMQPRnu+khEDOnlFC4fEhvs9WM/cxIs4cY/Kx8S5AIJ5++mmsWLECeXl5iIiIUN+/6aab1P/v378/BgwYgPPOOw95eXm47LLLmnzP7NmzkZOTo74uLS3lGiCpM2RLfNFXu9X8bTpyNgS4isW1nRio5UgYgjx4ln+2gHn5PHdWtguub06aVZGn3pBtT4mAay2SmJgIq9WKoqIin/eLioqQkpLS6meff/55PP300/jiiy8wYMCAVpft3r07EhMTsX///mZ/b7fbERMT4/PDl/yHuvt5aNq71TwtR06Jg0gzEOcS4774UbeaHkKhMcL0LUe8CyAxrsFReHg4hgwZ4pNM7U6uzszMbPFzzz77LJ544gmsWbMGQ4cOPeffOXr0KE6dOoXU1FRdym24EKiVnOp1zN9uNU/LEeUciUGYbjXmfrBow2g1Cpr9Zv4U5VaoXflW7zf5lEUnws0xJhHu7c85OTl444038Pbbb+Onn37CnXfeiYqKCkyfPh0AMGXKFMyePVtd/plnnsGjjz6KJUuWoFu3bigsLERhYSHKy8sBAOXl5bj//vuxadMmHDp0CLm5ubj66qvRo0cPZGdnc1lH7cx94rbGobYcaRyt5pNzJO92MgNxErJd6EKhnU/OEb9iGMS3Ww2QZ6SrfPuKP+45RzfeeCNOnDiBOXPmoLCwEIMGDcKaNWvUJO38/HxYLJ4Y7tVXX0VtbS2uu+46n++ZO3cu5s2bB6vViu+//x5vv/02SkpKkJaWhiuuuAJPPPEE7HZ7UNdNO/lbRNw5R353q6k5R96PD6EWAhHwD0q8k/WpW00Ln0kg+e9QY/islxzHiLT7iiPuwREAzJw5EzNnzmz2d3l5eT6vDx061Op3RUZG4vPPP9epZJyEwGgbd9yneZ4ji9UzQ7bE28kMhInhG42AlPn8CQbpLreNH0wMACafXV+Yc09C3LvVSCskPvK1D+V3d6tZPKP6TF7BmZ1nrhXel9PGyU/ynj9GcUpc5zSe58j1lrnXV7QubZlQcCSiELjz1TyU3yfnqOEtk1dwZuceeej3vtS9IK4uV2YJA0AXDC3c+1JRNAyWEF1zCdmydMlLtqtEQMGRkNzdRfLSPJTfe54jSsgWgkOU4KjhQucJjiS58AWRu0XXxntfGsmrtdrsz2Wkqs84FBwJSAmJhGzXv/4P5ffOOXIdvjRDNl/CBEcNgbNidaVSSnx5N0y9Q+MoUlOQsVvNhX+XtnwoOBIQC4HjXPtQ/qYtR2av4MzOwQS5oDrrXf9aGsaZ0HHhN83d3WagPtBRni55YeYYkxAFR0KSP+eIaR3K75WQ7fVl+hSKaOIOdLl3xTB3zpG75YiOC3+prYBSXm3lazlSg1kp9xdfFByJyH3ymvzEbY3arebvSe1sOlrN7HkDZqe2AvIOjtR8NAqOtFIvtlaJL7Y+wZG56w41mJV5f3FCwZGAQuEw19wV01zOkSSz3JqVJ+eIc0HcwZGVgiOt6mVuOVJHq3kfqOY+RupFabWVEO/qjLTK3Cdua5jmofyenCPPe+a++zM7pzrCiXN14j42rO7RavKeP0YRphXQEE271Zg7oDYpR0NLupQ5YpxRcCSiEJjEzqF1KL/XPEc0Q7YY6rUm1+utSbca8Ze711rmlgjFIk/OEbUcGYeCIxG5J4E0+YnbGndPmN8zZHvNc6QGkdRyxJVTmG61htFqVvdTkeQ9f4wizMhDI7BmWo5Mfow4HO5zj/fJJx/aokKSv+VI86zKzT1bTd7NZApqngrvCtrdrUaj1TSTu5vGMyuQ5y1z31hRy5FxKDgSkYx3bY04NQ/ld7ccKZ55jujZalwJkZDtdQwoVnp8iFYOmbvVvCYFqmMNcx056vmVRwdy54jxRcGRiBqe/aMwcycLtkbzUH61grNCfcyKye/+zE6IuVacXhc5teWIjgt/hcbFVkEVwl3/V1fFuSyBoZYj41BwJCD3na/Fae67mtaouQ3+ntTNPFuN8CVEt5r3jQR1q2kWEpNAAqiCHQDgrK3kVRhdCPPQZwlRcCQid7cAkzc4YlpbG7xyjhwNF0FWX6tn0YifhEjI9hqSzawNrQIUHPnNEQqPD1EUVCMCAFBfXc6xQIGjliPjUHAkoJBoOdI8lN/TclRvjXR9V425KzizE6IrxutcsUVEAwAiWA2v0piW3C0RnoTsGsXVcuSoqeBXHB3InUDPFwVHAlKDI4lbjjQP5fd6tlq9Ncr1XRQccSXEs9W88s4iYxIAAOGKA446CpD8IUSgazRFQY3S0HJk8uCIWo6MQ8GRgGQPjryfhO33HY+ac2SFw+YOjsxdwZmdEHPjeHWrRbaPU/+/ovwsh8KYl+fxIZwLYgSvescdHJn9xsrzbDW6lOuNtqiALDa5gyOH17PQtM+QrYCFuYIj1FJwxJNDhK4Yd7eaYoHdbkcNc51D1eWl/MpkQsI8CsYQnnqnzuIKjhw15k7IppYj48h4BpiexeZKKLVKGhx5PyfW7+Z770kgG4Ijpc7cFZzZidGt5pkAUlEUVDa0DFRVUHDkD0+3GueCGMErIbvW6jo+mMlvrOQeXciXjKeA6Vkk71ZzMu+WI+05Rwhv5/pfCo64EiJPxau7FYA6GqmmkoIjfwjRChgEdRbXYA5m8i75+hDZXzxQcCQgd7eavC1HAXSreV0ElYbgyFpPwRFPQk0CaWkIjhoufrUUHPnFIcKcVYZTUGttuLGqLeNclsC4R6vZpEwS40vmM8C0PN1qcs6Q7ZtzpL3lyGJvDwCw1pv77s/shLh79epuBYDahuCojoIjv6jzHMl4rfXuVrO56g5LrbmPj1Bp6eOBgiMBqS1HkLXlyPP//gdH7twSK8LaxwMAIurNXcGZnRAVdKNutRpbDACgruIMrxKZktzzHLkpqFODI7O3HAmQ7ycpCo4EZLW5JiizSdqtFtBQfq+WI3t0RwBAFAVHXDlFmFW5UbdabXgcAMBRfpJTgcxJiFZAw3jqnfow10ShNpMHR0I8ukdStEUFZFVbjkKhW83PDzs9wVG7uEQAQDSj4IineocAF1Sv0WoA4IhwtSqyytO8SmRKQgS6RvEKoB12V3BkrZNjniNqOdKfjXcBSFPWMFfOkU3ybjVFCWyG7PbxyQCAGFaO+noHbDarjqUkbSVGQrZvtxqL6gAAsFZRcOQPz2N9JLzYlhe7/m2XBGukq13AVmfyliMRbkwkRS1HArI2JGTbJE3IdgYyo7JXzlFMQhIAwKY4ceYMdZ/wIlTOUUO3mrWdq1UxrIaCI39I3RJRVuj6NzoFtmhX3RFdW+wzc7bZCHHuSYqCIwG5u9VsknareYIjDR/2ajmy2aNwBq7E2+L8X3QqHfGXEBU08w2O2iV1AwDEVR/lVCBzEmLOKiM46oGKhpaj6FQoCd3hZAoinRVAhXlvrOrpwbOGoeBIQLawhoRsSbvVAmq6b9R9UmzvCgA4e+QHXcpG/FdW7TpOI8M5dmtWN+SdNcx9ldS9PwCgk/M4qmtqeZXKdMprXPsyiue+NELFCdeNlWIF2iUiOro9jsPV9YrTB/iWLQBnq1z7q72dMmT0RsGRgNSEbIWB1cv3VPH8U65JGzu0C/fvg/W1QOlx1/835JSUR3cHADiK9+pWPtJ2TifD0ZIqAEB6fBS/gpQcdv0b5wqWO6Sdh2qEwa7U49ihn/mVy2SOnHadm5157ksjlBW4/m2fDFisiI8Kx0Fniuu9U/v5lSsANfUOnCx3XR/S4iI5l0Y+FBwJyB4VjXrm2jXf/eNuzqXR39ZDrrlnLspI8O+Dhd8D9VWuwCixp+u9hn/tJea9+zOzk+U1qK13wqIAKbER/AqiBkddAACK1YYCazoA4PQhalVsq6NnBAh0jeCVbwQAqbEROMRc/89OmrPuKDrrCozsNgvio8I4l0Y+FBwJqF37aHzRfTYAoO/Rd1F68jjnEunrwAnX8Nm+qTH+ffBEQ+tQ8gWuoW4A2qWdDwBIrDygTmBHgufXk67ZydPiIhFm5VidnGq4wMV3U9862971/xX5O4NfHhNijKn7s0uCbMFRQ8tRdCoAV3D0KzoBAGqPbOVVqoAcOeNq5UuLi/R/1C85JyGCo0WLFqFbt26IiIjA8OHDsWXLllaXf/fdd9GnTx9ERESgf//++Oyzz3x+zxjDnDlzkJqaisjISGRlZWHfvn1GroLuxt96H/ZaeyJcqceB9+bwLo6uDjZUwBmJ7fz7oDs3oEMP9a2MQaNRzyzojqP4cc8OvYpI2mhvoWsodJ+UaL4FKf7R9W/S+epbtu6/AQAkH8/1mXiUNO9EeQ1OV9TCogA9k9vzLo6+TjYM2GhoWbRZLfi5/TAAQNiRjUBVCaeCaffD8bMAgPNTOZ97kuIeHK1cuRI5OTmYO3cuduzYgYEDByI7OxvFxcXNLv/tt99i8uTJuO2227Bz505MmjQJkyZNwp49e9Rlnn32Wbz88stYvHgxNm/ejHbt2iE7OxvV1dXBWq2AKRYLzlyUAwAYXPguCvdt51wifVTXObC3yHVB7Zns50ld8J3r38Re6lv2mI7Y134oAKDwf0v1KCLxw64jJQCA8/1tBdRTxSngzCHX/yf1Vd8+7ze/g4MpOJ/tx85t3/Ipm4nsyi8B4LppiQiTLCH7WEP9mTZYfSumUx/84uwEC6sHdr/LqWDa7ThcAgDolxbLtyCS4h4cLViwADNmzMD06dPRt29fLF68GFFRUViyZEmzy7/00ksYN24c7r//fpx//vl44okncOGFF+Jvf/sbAFer0cKFC/HII4/g6quvxoABA7Bs2TIcP34cq1evDuKaBW7EuN9hS8TFAIDjX7/OuTSBczoZ3t1+FLX1ToxoX4hu74wCvn2lbR8uPQ78muf6/64jfX4VNuRWAMCo4uVY99kK7t1rdQ4nvj9agtLqOny7/ySq63SeksFRB5zcD9TXuAJGJ58pH4rLqvH5D4VQ4MSUwvnAe7d5ZjAPph8/dP2b1A9omN8IACLjk7E3bhQAoP1nd2HfPm1J+78UleF0RS22Hz6NgrNVARfXUOUnXJMdlhV5uhrboLrOgfe2u6Y9mBm3Efj7SOCkuVrbm8UYcOgb4GhD11nXTPVXF3VLwHLHpa4XuU8A+Zs5FFCbE2U1WLu3GDbU45ZDDwEf3tG2+ZqqSoDTvwJVZzw3m6RZCuPY3lxbW4uoqCi89957mDRpkvr+1KlTUVJSgo8++qjJZ7p06YKcnBzMmjVLfW/u3LlYvXo1vvvuO/z6668477zzsHPnTgwaNEhdZvTo0Rg0aBBeeumlJt9ZU1ODmhrPqLDS0lKkp6fj7NmziInheEcMYNOadzBi050AgK1x4wFrGJhiAYMFDIqae9NWbV2aNVoy0C5txoATZVWoLC9HuFKHa6zfeH7Z/wbA3t411FZ9cral4Y8qQOVJ4MeGYyGuK/DnXYD3s4QYw88vXok+pa7WgWNIwkl7OurDY+CwRYEpVkBRoEBRv9e9fgoYwJjrX/drMCiMeW0F1mhZ9xbyXtb1e8YYTpZXo7KmHhUsAjalHtaIaCTHRjXsMScUxqAwJxT1tbPhe5ye33v/q/5d13vJNQcRX1ekrn5BRA/ktx8AC3xPZUV93VA+1ui1ZwP6Ls/O8Xsw1NQ5cazElfMw1HoA6WhIeE3qC6QPxzn5HFCK9vcLvwfyN7r+f8xDwJgHff5MWfFhsL+PRAzKUc8sOGjtinJ7MurDY8EstoZjw6L+KGCwMEfDTz0qq2twuqwSNQgDgwKHEoa0xHi/5pUxooJtrtaOdJSh/9mvEc5cUxc4oeDH2DGoDIuDus0Uz9GrMCesrA4WZx0KTpehyqkgBlW43OrVSj34VtdnvP+g+7xs078cVZUA+z53BQIAkHYh8Ie16q+PnqnEmGe/wnth8zDI4gokCywpKLKlodIWC6fFDsVihcVigcXqdZy0QGm8p1lL52MbPtv4XPb6rlqHE8WnTsMKBwbZDqMzc597/YDOQ9RvdP3jtS/qa4CfPwWqSzxf3CXTlcOp/qFmzjMj92P3sUDvcbp+ZWlpKWJjYwO+fnOdHOHkyZNwOBxITk72eT85ORk//9z88NvCwsJmly8sLFR/736vpWUamz9/Ph577DFN62C0gaN/i4JNjyAVp3BRyX95FydwzR1xu1e1/fNjH/INjABAUdDzrvfw/dK70ef4h+ikFKNTTTHAcxYE716JOgAGzjOXWr0fqdUchiM31/NS/KMn/yeYYjoBw2Y0eTs6qStOT/8C+5b/ET2rd6On8yBQdRDwpwGo8XqaZNJtCxguOLv23AsCGKyg+f2585+6lomrSx/2edk5Pgo3j+iOWzbOxmNhb+NqyzdIdRYitbYQEH1qLPe+8o6hin9w/fgjf6Pn5oKHsCjdgyO90MxRAGbPno2cnBz1tbvlSASRkREomp6L9ds+Q3jZMTid9QDztCq0pM13q80uaExjos2iIK1jB8RE2hDdsQuQ3M81x8jpX13dMe67HHcZvFuSOg0B4rsCHXs3+91WezsM+OObqC57Fr/s+QZlxYdRW3EWSm05wJyuhNyGf9XWGrUFyfXDAJ/3fF+jleUUQIH6OiLMhr5pscgvKEJCTDsUnjiJOoezocVPUVv+XN/huhtlDa1aDBYwpdH/e7+nWOCwhKMqoS/iizfibLse6HB6ByzOuoajwbutCz4tBfD5veL9ssnv2/I9neMjEd/OjsSEBCC2M2CLBI5sar2bz+dumrX+fluWtbcHul7sykOLjGv2TyZ07YeEv2zA2cKDOPLjJlSVFMJZcRrM4QBjDtdx5nQ2TBIIOBUbnIoVTsUGWGzonRaPstJS2CxAeWUlqqqqWj1D/LnPbutNebOLNfPhk3GD4AiPRmTFEdQgHHHlv4IxJxiatgxCcbWEORQb2kdFonO0FR1j28Eamwak9Ad+XQfUnPWUQG1BYg27g3m9bu5fnhhgjwZsEa6fTkOA1AFNlpozoR+Gd++AytpMbLZXI/LE97CUF8JSfQbO+ho4HA44HA446+vBGlp2fVvVG+8D39dN24NaXp6d42BQW7sVIKVDPOLbR6FDfJxrhGZ9rasVtaEVWt0/gKceZU5X/ZnU13WexmcAx7a5uumBNpyDBmiUIiESrsFRYmIirFYrioqKfN4vKipCSkpKs59JSUlpdXn3v0VFRUhNTfVZxrubzZvdbofdbte6Gobr1jUD3brexbsYxkgdqOvXRUQnoFfmBF2/U6v+Df92MuwvjG349wbD/oLful3MuwQtik3JQGxKhqbPNl8bSa6FGxGZWC0Kruyf6vVOjxaXFV7PrLYvm9wwcOG8sa0vF8K4JmSHh4djyJAhyM3NVd9zOp3Izc1FZmZms5/JzMz0WR4AvvzyS3X5jIwMpKSk+CxTWlqKzZs3t/idhBBCCCFu3LvVcnJyMHXqVAwdOhTDhg3DwoULUVFRgenTpwMApkyZgk6dOmH+/PkAgLvvvhujR4/GCy+8gKuuugorVqzAtm3b8PrrrtFciqJg1qxZePLJJ9GzZ09kZGTg0UcfRVpamk/SNyGEEEJIc7gHRzfeeCNOnDiBOXPmoLCwEIMGDcKaNWvUhOr8/HxYvBJwR44ciXfeeQePPPIIHnroIfTs2ROrV6/GBRd4Mu4feOABVFRU4A9/+ANKSkpwySWXYM2aNYiI4Ph4A0IIIYSYAteh/KLSayggIYQQQoJHr+s390kgCSGEEEJEQsERIYQQQogXCo4IIYQQQrxQcEQIIYQQ4oWCI0IIIYQQLxQcEUIIIYR4oeCIEEIIIcQLBUeEEEIIIV4oOCKEEEII8cL98SEick8aXlpayrkkhBBCCGkr93U70Id/UHDUjLKyMgBAeno655IQQgghxF9lZWWIjY3V/Hl6tloznE4njh8/jujoaCiKout3l5aWIj09HUeOHKHntrURbTP/0TbzH20z/9E28x9tM//5s80YYygrK0NaWprPQ+v9RS1HzbBYLOjcubOhfyMmJoZODD/RNvMfbTP/0TbzH20z/9E2819bt1kgLUZulJBNCCGEEOKFgiNCCCGEEC8UHAWZ3W7H3LlzYbfbeRfFNGib+Y+2mf9om/mPtpn/aJv5j8c2o4RsQgghhBAv1HJECCGEEOKFgiNCCCGEEC8UHBFCCCGEeKHgiBBCCCHECwVHQbRo0SJ069YNERERGD58OLZs2cK7SNzMnz8fF110EaKjo5GUlIRJkyZh7969PstUV1fjrrvuQocOHdC+fXtce+21KCoq8lkmPz8fV111FaKiopCUlIT7778f9fX1wVwVLp5++mkoioJZs2ap79H2at6xY8dwyy23oEOHDoiMjET//v2xbds29feMMcyZMwepqamIjIxEVlYW9u3b5/Mdp0+fxs0334yYmBjExcXhtttuQ3l5ebBXJSgcDgceffRRZGRkIDIyEueddx6eeOIJn2dVhfo2W79+PSZMmIC0tDQoioLVq1f7/F6v7fP9999j1KhRiIiIQHp6Op599lmjV80wrW2zuro6PPjgg+jfvz/atWuHtLQ0TJkyBcePH/f5jqBuM0aCYsWKFSw8PJwtWbKE/fDDD2zGjBksLi6OFRUV8S4aF9nZ2ewf//gH27NnD9u1axe78sorWZcuXVh5ebm6zB133MHS09NZbm4u27ZtGxsxYgQbOXKk+vv6+np2wQUXsKysLLZz50722WefscTERDZ79mweqxQ0W7ZsYd26dWMDBgxgd999t/o+ba+mTp8+zbp27cqmTZvGNm/ezH799Vf2+eefs/3796vLPP300yw2NpatXr2afffdd2zixIksIyODVVVVqcuMGzeODRw4kG3atIn973//Yz169GCTJ0/msUqGe+qpp1iHDh3Yf/7zH3bw4EH27rvvsvbt27OXXnpJXSbUt9lnn33GHn74YfbBBx8wAOzDDz/0+b0e2+fs2bMsOTmZ3XzzzWzPnj1s+fLlLDIykr322mvBWk1dtbbNSkpKWFZWFlu5ciX7+eef2caNG9mwYcPYkCFDfL4jmNuMgqMgGTZsGLvrrrvU1w6Hg6WlpbH58+dzLJU4iouLGQC2bt06xpjrZAkLC2PvvvuuusxPP/3EALCNGzcyxlwnm8ViYYWFheoyr776KouJiWE1NTXBXYEgKSsrYz179mRffvklGz16tBoc0fZq3oMPPsguueSSFn/vdDpZSkoKe+6559T3SkpKmN1uZ8uXL2eMMfbjjz8yAGzr1q3qMv/973+Zoijs2LFjxhWek6uuuor9/ve/93nvt7/9Lbv55psZY7TNGmt8oddr+/z9739n8fHxPufmgw8+yHr37m3wGhmvuYCysS1btjAA7PDhw4yx4G8z6lYLgtraWmzfvh1ZWVnqexaLBVlZWdi4cSPHkonj7NmzAICEhAQAwPbt21FXV+ezzfr06YMuXbqo22zjxo3o378/kpOT1WWys7NRWlqKH374IYilD5677roLV111lc92AWh7teTjjz/G0KFDcf311yMpKQmDBw/GG2+8of7+4MGDKCws9NlusbGxGD58uM92i4uLw9ChQ9VlsrKyYLFYsHnz5uCtTJCMHDkSubm5+OWXXwAA3333HTZs2IDx48cDoG12Lnptn40bN+I3v/kNwsPD1WWys7Oxd+9enDlzJkhrw8/Zs2ehKAri4uIABH+b0YNng+DkyZNwOBw+FyUASE5Oxs8//8ypVOJwOp2YNWsWLr74YlxwwQUAgMLCQoSHh6snhltycjIKCwvVZZrbpu7fyWbFihXYsWMHtm7d2uR3tL2a9+uvv+LVV19FTk4OHnroIWzduhV//vOfER4ejqlTp6rr3dx28d5uSUlJPr+32WxISEiQcrv95S9/QWlpKfr06QOr1QqHw4GnnnoKN998MwDQNjsHvbZPYWEhMjIymnyH+3fx8fGGlF8E1dXVePDBBzF58mT1QbPB3mYUHBHu7rrrLuzZswcbNmzgXRRhHTlyBHfffTe+/PJLRERE8C6OaTidTgwdOhR//etfAQCDBw/Gnj17sHjxYkydOpVz6cS0atUq/Pvf/8Y777yDfv36YdeuXZg1axbS0tJomxHD1dXV4YYbbgBjDK+++iq3clC3WhAkJibCarU2GTlUVFSElJQUTqUSw8yZM/Gf//wHa9euRefOndX3U1JSUFtbi5KSEp/lvbdZSkpKs9vU/TuZbN++HcXFxbjwwgths9lgs9mwbt06vPzyy7DZbEhOTqbt1YzU1FT07dvX573zzz8f+fn5ADzr3dq5mZKSguLiYp/f19fX4/Tp01Jut/vvvx9/+ctfcNNNN6F///649dZbcc8992D+/PkAaJudi17bJxTPV3dgdPjwYXz55ZdqqxEQ/G1GwVEQhIeHY8iQIcjNzVXfczqdyM3NRWZmJseS8cMYw8yZM/Hhhx/i66+/btIUOmTIEISFhflss7179yI/P1/dZpmZmdi9e7fPCeM+oRpfEM3usssuw+7du7Fr1y71Z+jQobj55pvV/6ft1dTFF1/cZIqIX375BV27dgUAZGRkICUlxWe7lZaWYvPmzT7braSkBNu3b1eX+frrr+F0OjF8+PAgrEVwVVZWwmLxvTRYrVY4nU4AtM3ORa/tk5mZifXr16Ourk5d5ssvv0Tv3r2l7FJzB0b79u3DV199hQ4dOvj8PujbzO8UbqLJihUrmN1uZ0uXLmU//vgj+8Mf/sDi4uJ8Rg6FkjvvvJPFxsayvLw8VlBQoP5UVlaqy9xxxx2sS5cu7Ouvv2bbtm1jmZmZLDMzU/29e2j6FVdcwXbt2sXWrFnDOnbsKPXQdG/eo9UYo+3VnC1btjCbzcaeeuoptm/fPvbvf/+bRUVFsX/961/qMk8//TSLi4tjH330Efv+++/Z1Vdf3eyw68GDB7PNmzezDRs2sJ49e0ozLL2xqVOnsk6dOqlD+T/44AOWmJjIHnjgAXWZUN9mZWVlbOfOnWznzp0MAFuwYAHbuXOnOrJKj+1TUlLCkpOT2a233sr27NnDVqxYwaKiokw7lL+1bVZbW8smTpzIOnfuzHbt2uVzTfAeeRbMbUbBURC98sorrEuXLiw8PJwNGzaMbdq0iXeRuAHQ7M8//vEPdZmqqir2pz/9icXHx7OoqCh2zTXXsIKCAp/vOXToEBs/fjyLjIxkiYmJ7N5772V1dXVBXhs+GgdHtL2a98knn7ALLriA2e121qdPH/b666/7/N7pdLJHH32UJScnM7vdzi677DK2d+9en2VOnTrFJk+ezNq3b89iYmLY9OnTWVlZWTBXI2hKS0vZ3Xffzbp06cIiIiJY9+7d2cMPP+xzkQr1bbZ27dpm66+pU6cyxvTbPt999x275JJLmN1uZ506dWJPP/10sFZRd61ts4MHD7Z4TVi7dq36HcHcZgpjXtOeEkIIIYSEOMo5IoQQQgjxQsERIYQQQogXCo4IIYQQQrxQcEQIIYQQ4oWCI0IIIYQQLxQcEUIIIYR4oeCIEEIIIcQLBUeEEEIIIV4oOCKEEEII8ULBESGEEEKIFwqOCCGEEEK8UHBECCGEEOLl/wNiUv04SKLqmwAAAABJRU5ErkJggg==\n", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "!mv *.spice sim/\n", + "\n", + "\n", + "path = \"sim/pd.spice\"\n", + "signals = \"\"\"vref REF gnd pulse 1.8 0 0ns 10ps 10ps 12.5ns 25ns\n", + "vdiv DIV gnd pulse 1.8 0 2ns 10ps 10ps 10ns 20ns\n", + "\"\"\"\n", + "sim = \".tran 0.1ns 100ns\"\n", + "control = \"\"\"print v(REF) v(DIV) v(R)> pd_data_1_1.txt\n", + "print v(UP) v(DOWN)> pd_data_1_2.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice pd_sim.spice > pd_out_1.txt\n", + "\n", + "path = \"sim/pd.spice\"\n", + "signals = \"\"\"vref REF gnd pulse 1.8 0 0ns 10ps 10ps 12.5ns 25ns\n", + "vdiv DIV gnd pulse 1.8 0 -1ns 10ps 10ps 15ns 30ns\n", + "\"\"\"\n", + "sim = \".tran 0.1ns 100ns\"\n", + "control = \"\"\"print v(REF) v(DIV) v(R)> pd_data_2_1.txt\n", + "print v(UP) v(DOWN)> pd_data_2_2.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice pd_sim.spice > pd_out_1.txt\n", + "\n", + "path = \"sim/pd.spice\"\n", + "signals = \"\"\"vref REF gnd pulse 1.8 0 2ns 10ps 10ps 12.5ns 25ns\n", + "vdiv DIV gnd pulse 1.8 0 4ns 10ps 10ps 10ns 20ns\n", + "\"\"\"\n", + "sim = \".tran 0.1ns 100ns\"\n", + "control = \"\"\"print v(REF) v(DIV) v(R)> pd_data_3_1.txt\n", + "print v(UP) v(DOWN)> pd_data_3_2.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice pd_sim.spice > pd_out_2.txt\n", + "\n", + "path = \"sim/pd.spice\"\n", + "signals = \"\"\"vref REF gnd pulse 1.8 0 2ns 10ps 10ps 12.5ns 25ns\n", + "vdiv DIV gnd pulse 1.8 0 2ns 10ps 10ps 15ns 30ns\n", + "\"\"\"\n", + "sim = \".tran 0.1ns 100ns\"\n", + "control = \"\"\"print v(REF) v(DIV) v(R)> pd_data_4_1.txt\n", + "print v(UP) v(DOWN)> pd_data_4_2.txt\n", + "quit\"\"\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice pd_sim.spice > pd_out_2.txt\n", + "\n", + "clear_output(wait=False)\n", + "\n", + "print(\"Divider output leading reference signal with higher frequency\")\n", + "plot_from_op(read_op_file(\"sim/pd_data_1_1.txt\", [\"REF\",\"DIV\",\"R\"]))\n", + "plot_from_op(read_op_file(\"sim/pd_data_1_2.txt\", [\"UP\",\"DOWN\"]))\n", + "print(\"Divider output leading reference signal with lower frequency\")\n", + "plot_from_op(read_op_file(\"sim/pd_data_2_1.txt\", [\"REF\",\"DIV\",\"R\"]))\n", + "plot_from_op(read_op_file(\"sim/pd_data_2_2.txt\", [\"UP\",\"DOWN\"]))\n", + "print(\"Divider output following reference signal with higher frequency\")\n", + "plot_from_op(read_op_file(\"sim/pd_data_3_1.txt\", [\"REF\",\"DIV\",\"R\"]))\n", + "plot_from_op(read_op_file(\"sim/pd_data_3_2.txt\", [\"UP\",\"DOWN\"]))\n", + "print(\"Divider output following reference signal with lower frequency\")\n", + "plot_from_op(read_op_file(\"sim/pd_data_4_1.txt\", [\"REF\",\"DIV\",\"R\"]))\n", + "plot_from_op(read_op_file(\"sim/pd_data_4_2.txt\", [\"UP\",\"DOWN\"]))" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "J28Aw3ed6yXJ" + }, + "source": [ + "## Charge Pump (CP) and loop filter (LF)\n", + "\n", + "![CP](https://drive.google.com/uc?export=view&id=1bFTvIZfI4V_lGdcsznFBsUZkG210Lu_K)\n", + "\n", + "Figure shows the topology used to realize CP based on the dynamic current-matching technique, which minimizes current mismatches by using additional feedback transistors that compensate for the channel length modulation [11]. As shown in figure, the loop filter comprised of $R_1$, $C_1$ and $C_2$ [9]." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "2WXJomNHM12b" + }, + "source": [ + "### Generating gds and `spice` netlists from `.mag` for CPLF\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "mXEcxQiOM12i", + "outputId": "9109332f-3741-4096-f2e5-5c9fc865cb4d" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "\n", + "Magic 8.3 revision 464 - Compiled on Sat Mar 9 23:18:29 UTC 2024.\n", + "Starting magic under Tcl interpreter\n", + "Using the terminal as the console.\n", + "Using NULL graphics device.\n", + "Processing system .magicrc file\n", + "Sourcing design .magicrc for technology sky130A ...\n", + "2 Magic internal units = 1 Lambda\n", + "Input style sky130(): scaleFactor=2, multiplier=2\n", + "The following types are not handled by extraction and will be treated as non-electrical types:\n", + " ubm \n", + "Scaled tech values by 2 / 1 to match internal grid scaling\n", + "Loading sky130A Device Generator Menu ...\n", + "Using technology \"sky130A\", version 1.0.471-0-g97d0844\n", + "Cell cp read from path 2.87GHz-MWG-SKY130/mag/cp_filter\n", + "Scaled magic input cell cp geometry by factor of 2\n", + "Extracting cp into 2.87GHz-MWG-SKY130/mag/cp_filter/cp.ext:\n", + "exttospice finished.\n", + " Generating output for cell cp\n" + ] + } + ], + "source": [ + "%%script magic -dnull -noconsole -rcfile {CONDA_PREFIX}/share/pdk/sky130A/libs.tech/magic/sky130A.magicrc\n", + "\n", + "load 2.87GHz-MWG-SKY130/mag/cp_filter/cp.mag\n", + "\n", + "extract\n", + "ext2spice lvs\n", + "ext2spice cthresh 0\n", + "ext2spice\n", + "\n", + "gds labels no\n", + "gds write gds/cp.gds" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 443 + }, + "id": "IP1P8S44M12k", + "outputId": "1ae17f3a-5e2d-4149-b518-b0243ed93b44" + }, + "outputs": [ + { + "output_type": "execute_result", + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAjEAAAGqCAIAAAB1RIK+AAAABmJLR0QA/wD/AP+gvaeTAAAgAElEQVR4nOy9S5AkR3rn9//cPSIzIyOzXt1d3UA/AHSje4DpxoBDglwMh+SsTKLJyKFE7ppmdZFxzXSjtCfKuJc9SEfKjDeZdg+SGcdsDqsDtUvSdpcj2hq1g9XskHgQgwF6iEdPo4EG+lFdj3xHRrj7p0NUVRfQha7MqoiqqMT3M5jBKzvT//755xFf+CPc6ZlnnoEgCIIgVAB11AUQBEEQhE0kJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVQWKSIAiCUBUkJgmCIAhVwRSY13/33DdIgpwgCMIx5IJpnRjS/n77UZT90U/+spBiFBmTfj6dX68D+zRKOAwsccc4nvJXAdOc1aUU6Jgg9SaUQde4lKZrVgS0rQ64+Pts6Gkc7Cdb41gVd98vMiYB+Jj73k975e7Oi6czwkRZPRg1Pu64QkTLoFKGjELcnle1kcVkRQLAWnujnr7vSy1YxZF6y6lUY54Bbi0QmMlOUVFp3ZzZ4Oa4nALtK7Kcr8UbvrACFRyTCuTphRvdZH7P5l8ziVbLH3cah1GmfVE1QxTj2w17ceFnk3yZgX/x6bMjI0OyUm9A9RrzDEDW/ZMzNxRN9OzyYeepP7HVvWkXQqXN6/pTtaUTADjLxp1O/cQJAD7Lsk6ntpXm3o0jLuUEVM0QIu4m8z06TcYAsKORDoJd0+fjGyhhlOCYIvWG6jXmGUCR/7j3jI4iAOycG4/NbunY3VUTd9OPL5WOSQTAOUr7FNQNOXIZ0qEKGjWVkMs4TSg4Hg9iFTSEARO1lCJopbOEopgUQWvO0jBqQilo49LskEtVfaTeKtiYZwGjg2YLzgJInc3TDGiX6mYLznoQd+8ddSkPg0rHJGy49Pu3ANBiiGfV+Psf52lz2ad/kadr4S8ecRknopKG8MdDN8hASi+O/drADxxIqUXLawM/8CCNRQ+5vTzCl73eKtmYjz0Ju3c24B1FWkXWvbMO7ykyOhrnaUQGi0ddyEOh0jHJZxnVSc0z+qnPAqqD5sH9zGVAHZgn3zseD6TVNMS91yHLnHh1De5mFxaceLoWuJsJW+KE+VrtS3IZTMWXvN6q2ZiPPQPrrg8oUgDjivbXE4oUALri3PUORYpB+MZRF/JQqPQcLBlDI+a7QBCQ0RiB74KCQJkAI+Auq7DSMXWbyhrCBBAyBAwCAQQHtZ0Wvogvc71VtjEfa5gBAhOBKV9ZzUTMyDjc+nz2Z5JyKt16aMEE3zlP6YCCetbdMN85i3SEoEG9e+o7Z5EmHDTQ7x91MfemmoaoZ9qUj0HFQ3cxRt+BlIozdbHFfQdSPp6pdcxF8SWvtwo2Zh+f836P5dSKjBp8dDjl2QfUNPpSDewp0tQc06U22CMyutl3l9pgz1EAjI66mIdBpWMSNlz2/Y+wOXKt0//ndp7Wz5L9fp4+JiPXlTSEDMEQiEAgTfwwDTYEUphsfeqXjS97vVWvMb/n46btKPrCLioz90zzeVLMVXUNAYGCZxgCAQHBE30mfdQlPCwqbejOkWuXBerhyDUdr5Hrahri3uvAAol31wxu9mAZCftrId/ssQVmfV5k33zJ662ajXnOd+ZaYzBlWc2YFMQAsqweBgmIu71aH3OHX6op2JxP0gC7K0Zd71CkGYwrGptpyHzS0bNz5FrvGLk2AR2vkevKGkLEIA6Q5cPXIFbwBJ+nj6RIx4Ivc71VtjGDibdn83jzzsagY/GiWD6fBPIEVvCbaeYAKQgglvmkSrBj5LqWdTvB1sg1evfD75w5nvNJFTJke16E4qG62MznRTjO1MXoyzAvsm++5PVWzcac95AAOG/Y5ksCyHtjMwZQ/Rv6zvkkRGO6FOXzSRT19KWmzCdVCAoCBPM+Sx0ZE9QQ1DhLMzTCrfRRF3BSKmiIerqpFKC071i1HBMByqSdNbPcBBFUkG2sHX6pqo/UWwUbM4iNyQCwJa2tIsesMiZj0rzER1CkqWiQfnERNgPBdm24mSbfHesXF2CdJ0L3qAt5KFQ6JsW0grUHebpGjLWVR9OhGfXS1tGUb2IqaEjNJDS+RUoB8JRR0svTIWWUbGymVTb5lqNfEqTeKtiYNyEGKwLnAYmUo3wc9RgM3aGtVtWwBwDsQ3Jq2M/TnpwaDvO0MSNU/l53cKobkz7sXNSwezYnn7bvJW2guh2mChpye1wztLzjg9oXpTtJ7Gd9gmRypN4q2Jg/A3kG5QGJWYHpWEzvfdh5SoGR7PhovGu6cXtcx6wfflJkTCLgYtaYtgn0afcXC35892zTD/b8eUaBYXva7f3No6JShmQp4j71PP9kVNv72wCACyCV8sKX+9gBqbecSjXmbXTSazkV9OoAmBUhBDGYmInGdQALlud976QLqzaxVO/DUfjONLH7WaK5lMMS2lXIKtxXp7JuqWsKC5VFxiQGwDTVzrVNr2/VEt7tJ+/Xm0vZeM8xkJGq1T1OVrifVClDnEJip/6VYrhZfzp7PFJvOZVqzDtKFZ9ON9pxCiZrQ21SAATOsloQjEHo94NPw5hGd1Cx95MSDzd9FPAEXUK7OuXCljPZ9D1LAqi4xY0Fj93dCId+mieRr6WtN+pdv1sT/78Xz18ZDPc8QOxBsLRo168Oqzv9NwuGEFA/6jIcR2au3qrZmP/14vmf73104cyQWQ2HQb0+JmKAh8NaszkC8Mkd/Tet87+z+rfM0z9ZVJCwlFxfGLcYuKOnPp3vvI77xVVswTFpGBJP1TtO0W1QPKpWh1oQhOOFtf72py0AzJ5oc1d2ZrfRjQFkbraGUEtjUKNb81P3eE53GMVVcMFjdx8tkXZTvIfLfbzxtPrV6wV0qP/oG/9I035eAf79H/5LV6VR5pkx5D8/+/y3L3xtHz/8y9vX/82tHxdenoMgTqmyU/70vfTHC7/6+C874H99+b8JCBCnfDFWYx9nsRc7HlpwPylw+LnUX6hP2vtjNLdfvW7Mdy/+8pvbc2z/6q9/59SzH9FeW4clPXMy/vjq+R+Yjf/2zdpfTNdLA34u/S+/+hv/n6d9dTwZH/71C/0H85/7eGYMAfDUS2/Hy/t82+ZMEq1k8Sf6vel+5S6evvTx1Ws/2J/o4MHczR/tcnl/zinTIk7JnTJtY87/LNspf3JVMe/9xPCOsphFp+xP9IuccuRnsRe/FvxCffxkfCexe4+jzzXWb9w68bAoYVZvDz5eO7k9WzbwwZ6tP2U98MF9GwHoe8OYOmav2Ib7grV/j+fs3ANT2yX6zowhAOLltTFUZwJvPsrAhymoz9PNxo5ZDXmzHqalrcet06u7/tOjTpkWcUrulGkb8yE5ZZKZ+S2rZ88p0/IYp+Coz2Iv5f2kxNZHzUs6DAGka6smbqkwBJCsrYY70nO88bkfOqs/MK0oYzAY6HNIe90FUtZ9Du+4mIE+B7su4XsMDNxx8T4a6LBGT9jPl3+bmTEEQMfWP6I4mP6xsufD3KipfpVC9314x8XTymWanrAqCr6wj77TKdMiTsmdMm1jFqdMQtWuFOAoz2Iv651ZAiHpUxDWKGGKKekiqBtymhySLpvHxfYPb7/845VvMat3731zEq0H/Qs177CEwO4nat/89O/97coeg9Gf46snfvjEM3t3mWfGkMDiz3/8B36a5zgCfuPKDxUQTNm1UEDA+PPrU8t9+2t/OMnrhLlTpirSV0/8UJySO2Wqxnzj/i+JU/akolfK0Z3FXlZMcj+4b++PAQQvGfv6A74/BkAvNbLXV/39FABeauLs7r9lqBON26caH0+otTI66/3+l+sz6ETj9nJj0vO+VkbnJpSbGUMAeNbPL/6nPRcBb/PO2jf4AJu67ENuwu2fc6eUVEu7yc2WU5Z+OLnc9bWXxSl7UtEr5ejOYi8rJvlxppaJe+wyx2NHy+AeXJbpsaVl+B759HGHrBCgJu5cT+6Px8jtOUS+gynkZsYQbB7NfHivHJYnV2otHbLcITtFwYtTJsj82F8p22exk4djpfLz1z1nHIKyPF2s4jZlxSQVBHxvDIYyAQfgeykY2gQUKH8vJWYVVH6nXkEQhC8lR3gWe1kxSf/aSeXGCELqragLJ+BSBDXb6wQX5tllbBrjfiU3LBAEQRCO7iz20uaTXlnJ55PMS6F7Y/XhfNIbe88nCYIgCEfJ0Z3FXtbZ536cYZkQwWUZj1NaBiK4zOZpjvaYTxIEQRCOiiM8i730+SRjtA3U1nySoYCmmk+KjQ0UMzCwOjYOwMDqyDgCLFMvK7j85cnNjCFacdtYACOnQ+U1sWdKnIqMA9DLjC1uh+Cy5cQpFZQTp1RB7gjPYj+E+aQHwYWlHfNJc+zs5PNJ1+b6sXGh8m+st1+c7zHwdie+Otd3TIlTrzxYKLbY5cnNjCFtY19e7DjGjUF0tpEEiplxYxBdbg0U8Np6e2Vc5K7FpcqJUyooJ06phNzRncVeVkxiAqI2gDEahpSK5gBY9BUZFTUnj9cEpJ5CBQCWH+4fknoq40Tj8uRmxhAAjpE/c+UqgWIAzhOXc6ZneXLilArKiVOqIHeEZ7GXEpPmGutz7rXNjl0DcNg1TRPU1IfDRqQdA11rbg4aADqZebfX1MSJL34yrDy5mTFk5PSNQQRgNQ1Sr0LlLdN6GmhqAOjbgs8aK1VOnFJBOXHKkcsd7VnsBcckT/xvB1oPrkz4/V/f6wsna+likHmgk5lzjQRAz+onG0lA3LHm9rDgE9PKk5sZQ0LlzzYSAlKvluvjWLuRV5mnXGU1DUaFHq1aqpw4pYJy4pSjlUvrZoqtvjUyXXD3tehtxj2l9ekGSeeGj+stNbVLvFoMs4CYCAwExLFxnczEuvhzusqTmxlDNHGgOPUUKh9rl3gVGxcqZkATm6IHJUqVE6dUUE6ccoRyzYTPbExbMA4LXaxRZEwi4OkVP9XZ5wR8/UP3mG0xRl4thdnIacekwCA4ppFTTeM6Ra/AKVVuZgzxTMwIFFumkVexcYlTGZNRDIYrtHWWLSdOqaCcOOUI5YxHc+qjz6EKHb4r7WXcgvh0VNtIAwb6W6OlPatvDiJNnExzoO2Ry82MIYlTeYbraZB5ChVnTN3M3OhHAEZF21KqnDilgnLilGMhVx5Vj0nPNEc15ZvGjdfbz8ZDBjLffL7dT5xyTHeS2nGRmxlDIuMutwbOk6bGuUbCgFF8ox9djIeKuG91saPkpcqJUyooJ045FnLlcQyCp1abg4GKWG2NiupyFlOWKjczhijgMxnylsrBsz50OXFKBeXEKcdCriSq3k+63o1D5RnoZub19TaAnjWvrrcVkPniX1coT25mDOll5rX1NoC+1atpYIjz8fd8selG0SP+pcqJUyooJ045FnLlUfWC7pxm3H4POXFFvv98OHIzY4hl2s5w52hASSMDpcqJUyooJ045FnLlcbx6dYIgCMIsIzFJEARBqAoSkwRBEISqIDFJEARBqAoSkwRBEISqIDFJEARBqAoSkwRBEISqIDFJEARBqAoSkwRBEISqIDFJEARBqAoSkwRBEISqIDFJEARBqAoSkwRBEISqIDFJEARBqAoSkwRBEISqIDFJEARBqAoSkwRBEISqUPVzZp9r9xcC65je70dXWgMAH/Sjp5qjgLiTmXe68XGRmxlDYmOvzfUJ+HDYOFlLm9qNvPp0VHumOQJwvRt3Cj1luVQ5cUoF5cQpx0KuPKreT1oILAMnamlN+Yb2de1ryi+FGQNLYXaM5GbGkEBxbFygfKTdYrCZYaR9TfnIuFD5okw4BDlxSgXlxCnHQq48qh6THNNimDGIgYZ2kXYAPNNimLljJTczhjAQKh8bx4AHFsPMMzHQNK6uPBdS+sOSE6dUUE6ccizkyqPqvbn3+9FHwzoDG1nwxnobwEZm3uzEGkiZjpHczBgysDrPsGtNJzMBsWPqOz3OPyx6fKBUOXFKBeXEKcdCrjyqXtArrUFD+4Z2b6y3X5jvMeN6N74610+9yjy9Mg6Pi9zMGBIb9+J8zzLdHDTONRIiKPCNQfRsPFTEr6+3Vwq1pVQ5cUoF5cQpx0KuPKo+dgdgu9fJDIA+9+ExkpslQ3hHOs+bS7OlVDlxSgXlxCnHQq4kqt5P+qAf1ZQHsJGZ690YwFoWvNVpKXDKxQfU8uRmxpCB1W93YgCdzPSszocIelZnvgmgZ4sfkShPTpxSQTlxyrGQK4+qF/Sp5mgpzDzTm5346lwfwFud1nOtfqB4IzP3k4J7o+XJzYwhkXF5hu/2mk82kti4kVM3B9Hz7T6AV9fbiSvSllLlxCkVlBOnHAu58qj62F3+lkCgvAZSr8ZeKXCguJOZkIrvkpYnNzOGEOCYRk5p4i0V1sSJU5mnwttTqXLilArKiVOOhVx5VL2o+QKSjcykTJkn6ylltZGZvNKPkdzMGGKZEqeYKfGqYzczTJxyTPm0cFEmHIKcOKWCcuKUYyFXHlUfu9v5HvX2GpjC+++HIDczhvQy88qDhTx9e1jf/vxOUjt45ocsJ06poJw45VjIlUfV+0mCIAjClweJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVKPjs82FIzDzFD1J0GxSPpvmJIAiCUAKDGt2ap2l/dbrDcIWVociYxMBHS6TdFH0v7uONp9WvXvcFFkMQBEHYB1YDzGSniDBp3RR7+y64nxQ4/FzqL9THE36f0WQ8LizHxgaKGRhYHRsHYGB1ZBwBlqmXFVz+8uRmxhCtuG0sgJHTofKa2DMlTkXGAehlxvLUz1lHJSdOqaCcOOVo5ci6f3LmhqKJAs2Hnaf+xBbskYKzA3ChPn4yvpPY+p7fnGus37h14vHfuTbXj40LlX9jvf3ifI+Btzvx1bm+Y0qceuXBQkGlLl1uZgxpG/vyYscxbgyis40kUMyMG4PocmuggNfW2yvjsEBDSpUTp1RQTpxy5HKK/Me9Z3QUAWDn3HhsdkvH7q5C8dMuxcckAImtj5qXdBgCSNdWTdxSYQggWVsNd6TneGPPrAhIPYUKACzTdgWknop8xihfbmYMAeAY+TNXrhIoBuA87dApkvLkxCkVlBOnVELO6KDZgrMAUmfzNAPapbrZgrMexN17RVsAlBSTABAISZ+CsEYJU0xJF0HdkNPkkHTZRBPm8+GwEWnHQNeam4MGgE5m3u01NXHii180WJ7czBgycvrGIAKwmgapV6Hylmk9DTQ1APStLqT8hyMnTqmgnDilEnIJu3c24B1FWkXWvbMO7ykyOhrnaUQGi8VasElZMcn94L69PwYQvGTs6w/4/hgAvdTIXl/191MAeKmJs3vnc7KWLgaZBzqZOddIAPSsfrKRBMQda24P9x4hnIry5GbGkFD5s42EgNSr5fo41m7kVeYpV1lNg5Er8mIrVU6cUkE5cUol5AbWXR9QpADGFe2vJxQpAHTFuesdihSD8I0Ci/+Qst5P8uOMlgkRXOZ4nNIyEMFlWZ7miHyaTZJPU7vEq9i4gJgIIATEsXGJV7Eubvlh+XIzY4gmDhR7IFQ+3lIJFTOgiE3RgxKlyolTKignTqmCHDNAYCIwOVZ5mhkZh1ufl/UCT1n9JBUEfG8MhjIBB+B7KRjaBBQofy8lZhUEk+Qz8mopzEZOOyYFBsExjZxqGtcpegVOqXIzY4hnYkag2DKNvIqNS5zKmIxiMFyhS4nKlhOnVFBOnFIFOWoafakG9hRpao7pUhvsERnd7LtLbbDnKABGxZqQU1ZM0r92UrkxgpB6K+rCCbgUQc32OsGFeXYZm8a4350kn09HtY00YKC/NVras/rmINLEyTQvQk1IeXIzY0jiVJ7hehpknkLFGVM3Mzf6EYBR0baUKidOqaCcOKUScgQECp5hCAQEBE/0mXSxxX9IafNJr6zk80nmpdC9sfpwPumN6eaTnmmOaso3jRuvt5+Nhwxkvvl8u5845ZjuJLVii12e3MwYEhl3uTVwnjQ1zjUSBoziG/3oYjxUxH2rix0lL1VOnFJBOXFKJeQ255M0wO6KUdc7FGkG44rGZhrHbz4Jm/NJ2Y75JDvtfBIArTYHLhWx2hoV1eUspixVbmYMUcBnMuQtlYNnfehy4pQKyolTjlwun08CeQIr+M00c4AUBBAf4/kkY7QN1NZ8kqGApppPut6NQ+UZ6Gbm9fU2gJ41r663FZD54l9XKE9uZgzpZea19TaAvtWraWCI8/H3fLHpRtEj/qXKiVMqKCdOqYLczvkkRGO6FOXzSRT19KXmcZ9PehBcWNoxnzTHzk4+n7RzmnH7PeTEFfn+8+HIzYwhlmk7w52jAcUORByOnDilgnLilErINUi/uAibgWC7NtxMk++O9YsLsM4TYaJb+NSUFZOYgKgNYIyGIaWiOQAWfUVGRc0y3scWBEEQCqGtVtWwBwDsQ3Jq2M/TnpwaDvO0MSOkrcKlS4lJc431OffaZseuATjsmqbSBogFQRCE/fFh5ykFRrLjo/Gu6cbtcR1F9/oKjkme+N8OtB5cmfD7v16svCAIgnAA0rqZYqtvjUwXPOxV9DbjntL6dEOxc0PpLQmCIBw9zYTPbEx7Q+aw0Pd/i4xJBDy94v00awQJ+PqHTk70EwRBOHKMR3PSw+8eogodvivr/SRBEARBmBaJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVAWJSYIgCEJVkJgkCIIgVIWyzpkVhIPzu3FdTbYN/n168BV/KbZpOxk9fX7p0S/U4sbSkE6n8/0o6ppxHDQmLMMgTKMgjNS95xFOu4l/hHv/OGp0ppebS5+9wKe+snB6pONHv7OcvjjwYc+HXznx4FRUByYq13cHoymKLghHhMQkobr8Xhz9JLWT3HEHqr/EpwJ2NWuXF5uPfkGHYSM1i76W1oLUuFAFE5YhC5xRpkbdM9NfLDV0/14tHO9Dzp+aS+eSZtOaXU4ja7pzGasx67NxPwoCmiAmvRia7w2SPb8mCEdO1WPSc+3+QmAd0/v96EprAOCDfvRUcxQQdzLzTneXp8hqys2MIbGx1+b6BHw4bJyspU3tRl59Oqo90xwBuN6NO1mRjepWj04MWgDuza8vDVomM964e63OmfVFAA+ag7vhCMD9UXQlXmlS2tbJvfVdAkCtOVw8cedWNtcf1/tpsxmkAJ7vLCqvXJiu1Eenu3MAVlrd+bQWjGtM/M78KoBB1ogCe1nHd7E6YY9kmwbiV8f3e2mUy52spXXtmWk1DU7UUgBraTAfWEU8dur+ONyWazdXzpvuxvBUspunTp38ZOCDPsK/G1w51VgF+FRaO/XYWnphl67j/im1DciVcizkyqPq80kLgWXgRC2tKd/Qvq59TfmlMGNgKcyOkdzMGBIojo0LlI+0Www2M4y0rykfGReqgg9oVCBoB8UBsfHkwlR5ZQhQzMbqg51RnAckzaQB1h7aacDwpkpBFjykrj2AyDhDnP+niRvaAWjoA9VbqbX0KKW2AblSjoVceVQ9JjmmxTBjEAMN7SLtAHimxTBzx0puZgxhIFQ+No4BDyyGmWdioGlcXfnCz7G3ykN5aOuJbZhqJh+OPXloS8RWH8gat5lh5pUn5aC8U84HqWZyYVqUCdswU0N7BhgIFAeKATA2PzwIpdbSo5TaBuRKORZy5VH13tz7/eijYZ2BjSx4Y70NYCMzb3ZiDaSFHgJfttzMGDKwOs+wa00nMwGxY+o7Pc4/LHp8YKDtSmMIoMuw4VgHqQf3GKoxBNCjAz39rdRHGvDK94nzDLvENkiNdmU8Va6mQSczDCRe3RnVACRe3U1IAQe8/U1QS0X6pdQ2IFfKsZArj6oX9Epr0NC+od0b6+0X5nvMuN6Nr871U68yT6+Md5kBrqbczBgSG/fifM8y3Rw0zjUSIijwjUH0bDxUxK+vt1cKtWUuCxeHTYD0/NpCvwUQMdT8xsnuHBSb+nBY2/9ystPdOdaelFON4cneHIF13FsY1+GMsnplcaVAQwCcqKWGOFB8Z1Rbro8ZWBmHp2qpY/JMt6zed85zWXjisbVUoBUouQ3IlXIs5Mqj6mN32DGtzAyAPvfhMZKbJUN4RzrPm8uzhXYmecdnBQjuyJD5kQ/Lg3OdAimzlh6l1DYgV8qxkCuJqveTPuhHNeUBbGTmejcGsJYFb3VaCpxy8QG1PLmZMWRg9dudGEAnMz2r8yGCntWZbwLo2YJbVNdkaPYAdMC22TOsHHEXXre6ALrqQINeK62uBpxyXWId9wB0tLWNofGlzAivpYEmBpB4lT+0jpy+N64R2B1smKhrMrVHLdWKsGCTUtuAXCnHQq48ql7Qp5qjpTDzTG924qtzfQBvdVrPtfqB4o3M3E8K7o2WJzczhkTG5Rm+22s+2Uhi40ZO3RxEz7f7AF5dbyeuSFsiVgvjOgAbZAs2NNZ47ZzJNj+sJf0DhKX5tGaYfJDaIN3MsDFcdFqnNQ/cNQUvc5gPbEM7Bt1N6FQtBXBvXDsZpoo48WpwgLG7PWupKBM25cpsA3KlHAu58qj62F3+lkCgvAZSr8ZeKXCguJOZkIrvkpYnNzOGEOCYRk5p4i0V1sSJU5mnwtuTcZqcJmsMUzCueUCP6xqKbACvArf/+ziAzQzTmvEazpDTxqs8IJiEaTgAACAASURBVJlxkR2LHEU89koTK8AxOSYCb354sJxLraVHKbUNyJVyLOTKo+pFzReQbGQmZco8WU8pq43M5JV+jORmxhDLlDjFTIlXHbuZYeKUY8qnhYsyIYcBsoacdkx5qGBi50FOqSw44C2Kic245gEPKKvJmjxtxrUSVnhh7JQCEqcc4Jk8k2NKvFLA+GCvQ5VaS49SahuQK+VYyJVH1cfudr5Hvb0GpvD++yHIzYwhvcy88mAhT98e1rc/v5MU37EAcCZ2HG8AeAIKtX7+4TlonN4AsAwsIwAwoNEJXgq9q9lsYS56NB8TmkZGsaunQTBWLtQBAJzqAjDAWQCNDQBnAEQjYATg5xAASLUPtQ5pcBJT9zZCDF7cKccBLAAsKcDWASxuJQCcCbEtV3NL8xyfaDQt7VKrsTuTskqhT0SjZmAAIHR4bC0RJt3caBJKbQNypRwLufKoekwSvsz88WCkJ1uc9iBpXGptNFXWMsn9/i4xKYxGC8H9j9EeuHiQmiiYdBZqmOmG8VeDaB2r03Y42oh+6u73p5drxRvnTK8zPpn0d9E8ubwy5KDvg/eTxgmsYYIhpuuZcyUMfAlC4UhMEqrLP+9N+u7R26unfmv575ZN72xj462f7RKTWqdWLz33+g+GF+71F+70l5ejSV/ZuT88sdQY/2b9iR/jA55yVe0JPPG/969/Mr3c2eWf/kr94w8fXNv4ZPnR71x9/m/u2+iOi//03n/91cUP6GAvDgtCpaj6fJIgCILw5UFikiAIglAVJCYJgiAIVUFikiAIglAVJCYJgiAIVUFikiAIglAVJCYJgiAIVUFikiAIglAVJCYJgiAIVUFikiAIglAVJCYJgiAIVUFikiAIglAVJCYJgiAIVUH2BRcEQRAAwBFG0x8d5T2m3DH/cRQZkxi4tTTdvvkvfIofn1PXPp70dBlBEAShJPoNur2g1DQBxmpcWCnyaK6C+0mpoWBkpwqaay0FSEwSBEE4emoj++2GpclOgLw9rr3KBZ+qXnBMUoxvN+zFhZ9N8mUGfrbyUrEFEARBEA4AX1z4WTeZ3zMo1UxiaPlVu8sRmgeh+PkkIu4m8z06TcYAsKORDoJd0+fjG4WrC4IgCAek40/Wl04C4Cwbdzv1pRMAfJZl3U5tK829Um7gpaxxYMBELaUIWuksoSgmRdCaszSMmlAK2rg0K0NaEARBOCgEOEdpn4K6IUcuQzpUQaNGCbmM0wRBoyTlstbd8cdDN8hASi+O/drADxxIqUXLawM/8CCNRY+yjBKEavG7cf334omGOJgHRC0MfosGwCXwpV0G66l/GQAD/PxPieYnLwYv/1TRHGHtF9Ce/FcAgI1fQvTbE8sx45v316aUECoEbbj0L24BoMWQLqvxX3ycp81ln26ma/jFUqTLiknuvQ5Z5sSra3A3u7DgxNO1wN1M2BInzNdqWNw7n9jYQDEDA6tj4wAMrI6MI8Ay9bKCy1+e3MwYohW3jQUwcjpUXhN7psSpyDgAvczYQuc8S5U7NKcopp+k9laPFMgqP9B2LgsBdE0WsTJOM3A/TAAMsrko6LcWPjxvuhufnEq68aO5nXr21sAHfQ7fvf/LpxofA6wIofIAMiZNrAAGrKdAMYDUK88AcH90/jeWbp/CwhrWpyr/POa71P3XD57I5erezFkDoBOmzcwYVp64a9L5rAagp+0/mg9pv36RK6UKcj7LVJ3UPKOf2syoOmge3M9cBtRB8/D9sga6Snw/iQkgZAgAT8QgOCgNAgETV861uX5sXKj8G+vtF+d7DLzdia/O9R1T4tQrDxaKLXN5cjNjSNvYlxc7jnFjEJ1tJIFiZtwYRJdbAwW8tt5eGU//gsMRyR2mUxg4MWhBOyi/0hguDpsgoNlbGNfJabLmzmICwAEO8MSe2IP9bktYt//Vba1YDZV/opEwsJYG7cBqAjPWs2ApTAn4NKkNrM4z563/pi08b5UNQMuapVETDITpwqhJAFjx/PriMAZ5qo8OUlFypVRBjkxAo4wT0EKgjMbIbqXZjzJOoBbKih1l5aueaVM+dhcP3cUYfQdSKs7UxRb3HUj5eKIXmQhIPYUKACw/XJ+Yeip4BWLJcjNjCADHyJ+5cpX8Ydx54snWj1ZH7pCdAgCsAL8pvq1XxPMyA36rlhxDEQB4pgkX9e5Hb7vYmx0zEGOK580vQK6USsjN6+A75ykdUFDPeuvmO2eRjhA0qHdPfecs0sQHDfT7hZuA8mISGYIhEIFAmvhhGmwIpDDZy7UfDhuRdgx0rbk5aADoZObdXlMTJ774jZHKk5sZQ0ZO3xhEAFbTIPUqVN4yraeBpgaAvtWFlP9w5A7ZKffm1wNiT9xl6Pk1AB2wDTLD5A4WljKmtTQAMHLaMWliD0qcIhgAadG2dMJUhSmADnnb7hjAAT14vbAGYIMB1PeduVwpVZCjjsu+/xEAWgxxWaffv43N+STKNtPHcD4JFki8u2ZwswfLSNhfC/lmjy0w8XzSyVq6GGQe6GTmXCMB0LP6yUYSEHesuT3cf9M/ZLmZMSRU/mwjISD1ark+jrUbeZV5ylVW02DkirzYSpU7ZKcsDVrGkw1TG44X+i0AttlbsGEwrnngXnu6OZ6daOJ2YAlwTPlIVMbk2cwFmxMM2YG7LztpZmZh1ARg253FYdNY47RzcW+hOwfANYYHyVyulCrI7ZxPcjvmk2wG1IF5Km8+qcQ9WIkYxAEyAudpBU+bc0uT9iWb2iVexcYFxEQAISCOjUu8inXxuz+UJzczhmjiQLEHQuXjLZVQMQOK2BQ9KFGq3CE7xWTGaWes0UwAEWBY5Td0bQ/0dKgATWBAE+cPyKHi/BMCVNFOMawIIFYG2Cp/oEHECsTmYN0LuVKqIEcmoBHzXSAItDEYge+CgsAYhRFwl1VwbOeTKB6qi818PonjTF2MpppPGnm1FGb5iIQCg+CYRk41jesUvQKnVLmZMcQzMSNQbJlGXsXGJU5lTEYxGAccgzpkuUN2ijdO28CHY498hJ8csddOj+veHOj2xwAzFMGDMqZQsfW0OavEm/NMBeKJ8zkkB+QByWvr8ikmrx3xQWaV5EqphNzD+aRa1tsIdswnhd85cyznk9TTTaUApX3HquWYCFAm7ayZ5SaIoIJsY6LXFz4d1TbSgIH+1mhpz+qbg0gTJ674Tl55cjNjSOJUnuF6GmSeQsUZUzczN/oRgFHRtpQqd8hOudfqGIIn32Oo+Q0AXXhnMt0Yuam2Ln4E62k9CwAkTnk2muAYY6/W0wBAsWuOAXRNSvPrAHrwLu5pkAMP4Fdyo5hxgHcP5UqphByBggDBvM9SC2OCGoKaz9IMjVpQQ1BDlhZb/m1KiUk1k9D4FikFwFNGSS9Ph5RRsrGZVtkkS1KfaY5qyjeNG6+3n42HDGS++Xy7nzjlmO4ktWJLXp7czBgSGXe5NXCeNDXONRIGjOIb/ehiPFTEfauLHSUvVe6QnXJmfRGKoa1qDE925wjQre7CuE42IKceLK7sO+dA8VKYeiaCmQssA4qwngYLYUbg1NcyX6RT5rPaiWFMDL2wttCdy1+IWpnfOLW2BGLTGKC1/8zlSjlyOQbONd7H6P2trIHRe7ukG9xND+DpL6D4mHR7XDO0vOOD2helO8ku7wM+it7aOV0RbwcxTVx457dsuZkxRAHbq0s1cf5ooYhLmpwsVe6QncLKbearmLeeyVg5OvBTM+UzuHne2Hzeo0KWme+u57cH6Fg5ymMeMU93WM3uyJVyhHKs9b/49NkJG44n9vVq7wtuNV5lmnyn2N/+zGsau3C9G4fKM9DNzOvrbQA9a15dbysg88U30PLkZsaQXmZeW28D6Fu9mgaGOB9/zxebbhQ94l+q3CE75UFzoBlWux55Ux8C6Cpna0ngNIfjg+Q89urTpAYg9WrktCL2TJYp9TUAhQ959bTV9RGADYZrDI1XjrjLbBoDAF2TAft/G1SulCOUMw7eqJGZosFoLjjEFlkvBDy5xsyPizGP/uSFW497sNo5zbj9HnLiinz/+XDkZsYQy7Sd4c7RgGIHIg5H7jCd8mJoXljKk2bHdbdz5CACALagGrIrlAGL4MXd9rvLlpCvazi5SjTFzA3Hq4rqhOSJqd8fGgPhlV3ktvMhoLE1ZBcexDdypRyhXHPMT9+fuqcb6iKfFYrePGrMfsoljosDLqC3LwgV5ruD0fcGySTfvD88t9S48+TF//DN+u1bf31149NTj37nq7/xH1ds446L//ytP3h+4Uc08XDZO2vf+N8u//XzePoGbk5ReuApXPiEPvnH7/785HJZgadhC18mStzvThCEHA/sunPdo2RABljyjpyFt7uNOjhylrwlnzJl4MePfu8kZfJg3trdaHLyn0wrJwj7oMR3ZgVBEARhKiQmCYIgCFVBYpIgCIJQFSQmCYIgCFVBYpIgCIJQFSQmCYIgCFVBYpIgCIJQFSQmCYIgCFVBYpIgCIJQFSQmCYIgCFVBYpIgCIJQFSQmCYIgCFVBYpIgCIJQFSQmCYIgCFVBYpIgCIJQFSQmCYIgCFVBYpIgCIJQFeSc2U2+FgRfD/eujbcy+++Gh1CcivK7cV0x7fm17w5Gh1CYY8TvxvXfi6M9v/bHg9H/8iVuXYIAiUnbfD00/yAK77rHneu8rFVzRP/u0MpUPX4vjn6S2sefff1iaL43SIpS1IrbxgIYOR0qr4k9U+JUZByAXmbsBDHyi4iNDRQzMLA6Ng7AwOrIOAIsUy8r7OpQTD9J7a0eKZBVfqDtXBYC6JosYmWcZuBM7DT2aUuptTR7DLK5jfGpYdaa8PuprzNU9sk3fNDZsKdHjfjR76x/Uhv4sOdDMH3YvYrJT4hnJYfJ76TqMem5dn8hsI7p/X50pTUA8EE/eqo5Cog7mXmnu0vj2Dd3Hffvx8orF6Yr9dHp7hyAlVZ3Pq0F4xoT3z7V3e9N41ANKVXuVo9ODFoA7s2vLw1aJjPeuHutzpn1RQAPmoMXlooyAgDaxr682HGMG4PobCMJFDPjxiC63Boo4LX19so43Hfm1+b6sXGh8m+st1+c7zHwdie+Otd3TIlTrzxYKNAQBk4MWtAOyq80hovDJgho9hbGdXKarOF4Y9+Zl1pLjxIbe22uT8CHw8bJWtrUbuTVp6PaM80RgOvduHOAcH4IVwozMeBZA2hoZ4gBGnnVUA5A4nVNeQI7pqHTAAxlqas/6DyTqXHimzbcpTL7G/WM1Zh1I+h5VgA0IdIWwNirQLECe1DmVU05ACOvrScADDDTvm8s25TqlMOk6qVcCCwDJ2rpR8N6Q3sGasovhVknM0thVrhcHpA0kwZYewJrwDC5MDXj2kFyPmRDypNTIGgHVgGx8eTCVNvAEKCYldMlPPI5Rv6YT0DqKVAMwHliOqhYnmGoAMDuyC71VFa3ghXgN8W39YroxJRXS48SKI6NSz1F2i0GWeLVUphtpEFNea04VP4gmR/ClRKHGwu1+8vRhwC+sbTBwGKYvbHefq49YOD9XnR1rt/JTED8gx3PJcMazpvuRv9Uku4SF0+1bw180OcwsFuGhNkvLHRTT5+M6ucaSeJV07gb/ehCNNKK39po5Q8Kb69+UxXho1KdcphUPSY5phO1lEEMNLTLP/RMi2G2UULYzwOSDzOvPCkHwCnng1SnNRemB9E7bENKk7PKQ3nAe2IbpsYaH449eWhLgNWu2EY1cvrGIAKwmgapV6Hylmk9DTQ1APStPkjmHw4bkXYMdK25OWgA6GTm3V5TEye++OU/9+bXA2JP3GXo+TUAHbANMsPkmJ44wIKjUmvpURgIlQ8VGPDAYpiNnGagaRymGLTanZm5UkqtpSOXK4+qx6T3+9FHwzoDG1nwxnobwEZm3uzEGkhLGCJfqY804JXvE6vGEECX2Aap0c4DZw+Q8yEbUp7cQNuVvGYYNhzrIPXgHiOvrh75YhtVqPzZRkJA6tVyfRxrN/Iq83SukQBYTYOR2/8N92QtXQwyD3Qyk2fYs/rJRhIQd6y5PawXZgYAYGnQMp5smNpwvNBvAbDN3oINg3HNA6j1951zqbX0KAOr80bVtSbvTzimvtPj/MOD3cpn50ops5aOXK48ql7QK61BQ/uGdm+st1+Y7zHjeje+OtdPvco8vVLoKDmA09051p6UU43hyd4cgXXcWxjX4YyyGo39j/gfsiHlyc1l4eKwCZCeX1votwAihprfONmdg2JTL3jdmCYOFKeeQuVj7RKvYuNCxQxoYnOwQY+mdolXi2EWEBOBgYA4Nq6TmXjrkblATGZcmBprdJACRGDDyljjtDvgyHCptfQosXEvzvcs081B41wjIYIC3xhEz8ZDRfz6waavZuZKKbWWjlyuPI7B+0kPR90Z2JoKLK8ruj3MT7ngZz88CIdsSIlytDPJOz4r3hrPxIxAsWUaedU0LnEqYzKKCXAHe5LNMxw57ZgUWBM7ppFTTeNGJYzdeeO0Dbx2HkwMMDlir522gTcHCoGl1tKu8M4GhofuL6QFzMyVUmotHblcSVS9n/RBP6opD2AjM9e7MYC1LHir01LglIu/a6y0uhpwynWJddwD0NHWNobGKw+cOUDOh2xIeXJdk6HZQz4X0uwZVo64C69bXQBd5YADPfJ/jsSpfKZkPQ0yT6HijKmbmRv9CMDIHciWT0e1jTRgoL81H9Oz+uYg0sTJwXLelXutjiF48j2Gmt8A0IV3JtONkfM4h/0Pr5VaS48ysPrtTgygk5me1fkwUc/qzDcB9OyB7iozc6WUWktHLlceVS/oU83RUph5pjc78dW5PoC3Oq3nWv1A8UZm7icF90bn05ph8kFqg3RhXAdgG8NFp3Va8wCi/b8KesiGlCcXsdqsmSBbsKGxxmvnTLb5Ya2wN5M25Yy73Bo4T5oa5xoJA0bxjX50MR4q4r7VB5kpeaY5qinfNG683n42HjKQ+ebz7X7ilGO6kxQZXAGcWV+EYmirGsOT3TkCdKu7MK6TDcgpnN7/yHCptbSrXN6o3u01n2wksXEjp24OoufbfQCvrrcTt/8GNjtXSpm1dORy5VH1sbv8pYRAeQ2kXo29UuBAcSczYRmLXMc1D+i0ZryGM+S08SoPSAcc8T9sQ0qTM07n79MYps3qGtc1FNkAXgWF3vtyFLC9WFYT5yMRiop5ZtZbLywq4s+olAOrrTE6xby1PPfhhweg1Fr6HPl44MgpTbzV0jjvXGaeDqg4M1dKqbV05HLlUfV+Uv5SwkZmUqbME4CU1UZmQuIyXgFjYjOuuTD1gLIagAfygHTAMflDNqQ8OQbIGgCOKa8Zb5zzIKfIKQ7HxRiwRS8zr623AfStXk0DszXlk69vPuBq3evdOFSegW5mXl9vA+hZ8+p6WwF5pRXLg+ZAM6x2PfL5YpCucraWBE5zOF4+QM6l1tKjWKbEKQISrzrWxNp1MpN3Lt1We9s3h3Cl7NzHYWXgtvfy+On65l4eP1l1RLCeelvL6AM1pjRhlSQ+zoJdOhxJz6SsU9aj8Vz+ycfENzsOwMipUHlN8IzEqb81HkA/01l+TyloH4dSnXKYVD0m7Xxte3sNTOH995xlrW6f6gJYIPW0Uoi7AM6D0Br3fdJlfsKo63afj7SHaUipcmdix/FGi9R5ZRBtrrJ7CkG/3ukyLwOE4OAq21im7fVCOwegChmM2nmP21YpaYjjxdDkO1xomB3XXQ1A3qQIwfVsn62r1Fp6lF5mtje52LlivpDRzkO4Unbu49DNHlbR2G36JXGfuTFmHNbNqNW886TpdXonxrb5aJ4n44/zd2Yf9C80TA9Aylgdb+azczXq6LNOLmofh1KdcphUPSYdGm9ltjnabBkvBHROq8HWk0WksO79dWuvW/fauPg9F44RfzwY5XuyfVEVAbieOVfa2Ncx5buD0fYegP/x9ML3eg/vS7/bavz9uxsZGIDU2+Gwcx+HSbg/PL/UuHP2/F/9Sv3jD+9f2xju0qe9ev4H9210x8Uf3P+lZ+beIpp034Si9nGYGSoak1aG51dGk7+iSkvRpweU++7o7HfzP5j+4OSDX4/7f9Xf3Mj5m83ha6Pon90/tbXueQq5wzfkoRwTf+7pi/izi9qnkCPgnbVv/A9rm3/+0xMP/ou4/1eDrSqKRq8njX9279T29/lgExm53OTfn0ruKJ0C8PJPPxmd245JHK++ufbyjtczp3bK5CURpxyCXHWc8mjORP6AcodAFWPSU0/+8MKZH031EyJG8muZmX4lvsXTT/zo3Jn/tP3B+/dejtSTjXCw1FzNP4kCE7ns2ZM3nzvz/27LTbIC78gNud97Zqn5Uf7n2uDsQvPOtgnbcpMYkmn69tf+cOe2bF/xl5b41JV4Jf/zBC9dam381vLf7fwVu1/2HtmUl0D+k8/JTYIL3CS1drROAUDuNy8v/9Bvv9fFl3/z6h/ZHY/V+3bKJIhTdnXKJHLHzil//tYfXDr5o+3H0PdXXv7Nq3+klJvQKUdFtWKSNu4pO2C1n/WABERkGdNtNUjAKTVw9HCI945KNXkNH6rNzRQ1KU0+Uumy6T38pYX64lf9K2LIOrlYbw4Z9ZX9vAk5jzUEQFuPn7Dqcy/PxDYN2DUpzf8MvWuq7HOZN30WkItoutHOAC6ibFlPv9EOY049boVFFZwCgByalPqt4RpyWDY9+7mhnn05ZRLEKbs6ZSKOm1MIiNV45yjisukp5fZ0ytFSoZjksiAd1p/c9/49A7R1whMP426S4clGx5Pd/uBnwUg7b8jXHsYko8EtMz772bLZcWDTXaZeq2NITdm22YxJ68o+akLOFxkCYPBgrnV6NQo+34LbyahmbXsr4NVs1jLJ5zJv86iWue0CTEiNv7CckzBcnd/184o4BQDGaJtkOybB4clG59Fb5D6cMgnilN2dMhnHziktk6it+iHgyUZHb93WvsgpR06FYtJwvf3Wn/79ff+cf5m+NvpNAP98zf3hyt4PQf/jCf0/LWlF9JM/+5bjh836buNieq6RKtfvbq5XSVtIR7WVj86/9eZ/NklJijLk8vtputflRoQPng01YVdDBuH8vTtP53/2g4WVO5jQhG1u/uhru37+9Pml5cXmvfXNJXYLc9H9fvTWzz5zlOrpsxd/4anLJ9NnPxh/76Xzf76n1uu3f/2Z4L8n4J2b/q1b05VzTyriFAD8a3Tv3ae3x+74KfrJn33L8hSDKV/klEnYdspb4zvfat7d8/v/YXjqWvjkzDvl4BTilGKvFD5B9999anvsjpv0kz/7lpqyW3n4VCgmHZDf/+G/zDd4ypZ/5cksWx5+QGpz+T/yJwUmEANgj3v1p250499/968AFN46D8i2IenS77yw/oPAKCAvPDZ3rtoyxFn/5sKv/tMf/QmzRfUM+cvb7/z7T34K4H/+1t+pCR5mf+Hc9z1/H8A/XMY//PnSizcl/yr/373lvY9W4h3bAP6fv/PIPxP9VzuPPST8H79zmKP7m4Z8a7Ijon4N8MyYeaccMZuG/CJNtLXmmfa7v//v/y8AXOV5of0yOzHJ8eZmg7mflubTZpQp5bvdk63WAwD9/mIcrzHTKDH3h8zgqt3Ec7YNARAYdeGJLjMNh3P1ej8fGh4O55rNDSK+/WkLgGPPlTSEt8Lk7c4zmrKN7sm6WgPQ9ydi9SBPNNUDAsZ+vmPntMHd4e0jLvRevBt95Uz68VxrDKYsqxmT5s8HWVYPgwTE3V7t0/DcV0bvfZFTfvvC0nud0fbd5Ctz0b/5aNUf+r3l8qnTi9rbROVjXwn5htcAEuVCVgQoVqi5AZvr9+4cduGm5OBOqQino7PWYs70HnOltOL1u4Pz1bx3FcLsxKRHYdb5mZ68Y10KcylvEZYHM20v32TWRA6bqzyP0SMSeSb2ZE895594LgTsvffgbPjE8xlA997nT25br8HwJexgXSyOlWfyXjHIM3mmvCPumRwrYs4Tnom/0Bbizxz+mudzKKXfAbNiMDOBNZNnUL6hALNnJmLFIMAzqy+HUyqBZ+W8evyV4pmASltxQGYwJr3vo4+C5kd8ZvPlaQIGW/+2vUSlho9S4MQ/2C0DGuqVofYPgs3ZkaEeDnX0XrT8XnS51JJ/Dgb+pvXNv9ku8853wXOLWgDgUdpB3YUyhiYKaLDhWTFCJsWDnmLLCJJCt34oHaYsqwFw3rAlAjPIe2OzfGT1GD0roK9SRWCwYzXQKQALOPIEMCM+wFblh80MOeXxV8ou567PFgXHpIWF+amcT3ft8vKyL/SRX9dst3P3cvBB3EgZNBwtNBtrAIbJQr3WUeSHSdjv1584eeXWaP3RnzPwJNIY9szWypwW3GmyX6e0kFOUJuerjYXr994+c2pEwGg8F5qh1pnzJk3jRn0DwN2Vxmvxy6dPndzanuYz3PbxOodxuLkPSo/DZq253DrItmoPieN6GAbx1vUR1kyT9fLy7ut5CR8BMICCR3NBAzR4wPBotkGgwXqwedoLxfEuu7ZUCw8QTJACQKa0tqQcs7JWbX44DgA0m0188WRyGAY7W1LcjIu9BCZBkQJcxIFiOPJj8pEPAIyUC5gMK2YAntSXxSlVgJgwwZUCYHl57wuZHOK4+fCEM8by8vJj1jjEa2puA4Ge+gGx6VSBu3kXHJPO3Z1uhSUxVldXix0bzU5lBA5oTIwwSMaIjcqYSSOtmZG1YaASxYG32Wg42D2H7P9v782DJDvu/L7vL/OdVdXd1cf0MTOYCzd4LdYEl9cAIAWKK9LyH6bEiLUVoT8ki95YW9qQvKEIOSTtEevYCHsjLIe0G7uSaJtrKyxbFh0LhxfgLpcAiQXBXQIQQIIAcQ3m7qP6rOsdmfnzH6+mp2emj6ruOl7V5CcmgFfVr/KXv/xlvt/L65dsDKsbwceMgUpN1DjM4tGj4BQmBVJXJMzSodhzmsZI32no1JWkiFjABbC6N7tgyAAAIABJREFUupqtcbiNRjCVusVG2upeJU6hoZuVZqUreWuEk6lfaDRaiadO2GzElcrqrjfzCQYgoeXSW7T8Zo1niqgAqC+tlMQKGylRAsDg7QTzS4DWMWksQIZIsxEkNGCyafSMRqOx9+MvSNN0hwtyGo1G/32SKUwAECwMacFEIGYQgRiCyYAlJGDY3CVGyQWlYBpttBQAlcrBDZkn0Wg0bq67C1GpVPbxSVJ5iesdpiYK/GRrqfOf7U43fdKvXHj2EMNI6ftdftaf/exHWQZak5SJ1jJNNBcZxGmidSClTHXkMptarXbt2u6Tt9ViMQm9arW11SD2vFpNXbvW+YbBIzJ9gg0zA6TT1DhSuG6qtExTE4aGAWMYwCuvvKp1cuevN86WGtMFtdbSMSr7SXUxeeflrmTta6eeeHAifHAi3P7m4XLhr5zYfcfDO/ErDhC4ZGYeUAsPBQAvvUtGBQsPKUAsvesvXw00XDJ7WSRHnHuotQaFjNYsiITUxghjuLWqkxnA9euLzHtsSLhnqlat3XRC4+G169dN3weXzpWPwQHDCBZaaAND2WdiJpZGGrAAtNF3hVHywcyZE2BpWJrZB/j4QwFglt6FVsHxhxRAS++aq9cBMJsf/rCNhvzUf3L92uK2G+ZzD7788iuU7xJAd31SanKkbRx7VXgMpErWqiUAqXKrtaIgjnd5gOcUBtVqJQBJ4hkjpDCGKU3cTCMe3Cj5y1dWCo7zF1eWd2R1z+w8/BCdDLGRyhAu1TcNk4ELUDZKruDWUhlzT46H6BFJ4gHQWjKTUMwgrUUSD8eZaTupUSIEGFAGdRkDUAZaGCGVMRgfqvnmkTFKQiTJpfqmZhJwQa2WYuDGI726IWOY6lybXHTKl92pyzje+ry9j3P7nT4AAhCYz+0ehLE5VVFBLZps/VKFzQjh+rnZXW/uHf+6ITDxeOtDsOMP24pMAAAP4rwuBgxzatodCWEggHKQmmJZAqK+BChTHAexrK8GSHM/PHQLvp8wA6nnuikAIk5iz/MTAHEyTOs1xtiHBpNpki5qD+CGSH2WwggCAf0erz4KI2OU7Zbi3NFSQoz+uQQj6JNOqY2I4we9t4qhlsKsbUxMTmwxsFkdK49VDVMUyzeb998rxMXnf3/XFCYfeyg4M1++1BohDU4cKy+vn33hR31UAgAuPfWrP7P1Z/cs1JmpVi8WwiYRA1yrl8ZKNQJfXSy+Mv4ZGpKdCgyIpTfF8k+rZmaMVgBuLK2VqAJmRk7DnOwNbw9T71z50udVMN2AtmPz3NyAyWBiyvey6d0YEaOMVkvpmBH0Sdnq/a0NUd8SDLBJ4qYHwHDarHsEGAYFTOC9hlYJDL55rAOBife8uaewVovXfQCGVa3qZitcmVWj5gEwu00j5ZB7yhfOTL+H6ffu+MvbOz8w6FOn8/74YPp/9njGUWvH2AIYr9Kpmxufb0e8+Oj9O7cnvftfPLH3zT1DiO8BOPARdwJ48Mm8v/R0wSj5QNCf0O5nKd3SUlw3PUzM2iFhBH0SgCvewjVvYZ8bGLg3vdq3/BwOIrw2+cT+9wxqBu/jJ49l/7a/YcZeE/XvNOPbGtWu/PnFJ//Lr3/QrRz2iMtf+NVtLe8sfHnj+/u+89/tuvAEwKv/9V/7n//iTX0jlb/ziUc+9S/+ffujoN3iV77yn9076T1fK+xzz6eLjZW6/vX/4w/6lqvDcfkLv6ra8DX7GCUn/E9/8/7z57514G1X1s8CF/uQn4Ewgj7p4ov/6310sF5X8r0qFMDZb/9aO29DA2lmt61xePT4zI+X1v/HP3t915tdRzrywwemqXgx1XkfLzrxx7+5bZR3P/fflj94kW54l/Wznzn13G9l/elIp3tutmepjdj2SWCZaur/+o61i68/gvnxyyv73OMfn9lcWR8Ko7z7uX+80xa3sX72M/c+91uR2tso+eCX/+AD32mrpfQhM4NiBH2SMQbI9dtQm2id3xnm29Y4GOZ9ljykicGozM3ebhRz8/weBrRO8r/WNoNb7J9bw33vwB2CllHMnmcptUzT10wdhjhVcZrfVt8fRtAnWTJUUE7G5rQ/nn00bshCbJw9YDCwTZqTJRV4Ubm1HDANPBUMwcPLMsJEk6f2nC0ajvBbFsD6pFGGCEQsWiHLSCfGDRvT93Ul7bSQGFenhVbUGR2INMz17LFltCl/8F2mPaPz+dWrQ7f67q7F+qSRxWmue1uL4dr7vUjcnS6KMc9ptkJd0Ph4L6RYLG0y+e63B50FS3ewPsliuRs5Pla8f2ZirhTuc8944DlCfO0Tj/QtV5YjooKJNJzKrqOpVTF5bvtP2l0N//LPZ9evX7z8H97afUXSwLE+yWI5JGsffsv78MyOL96kD33lwF9JQb/4yQ/t/MYRlPZ9Mk4QpCBX7rews5mq8cD77On5vuXKckRUMKH9MTIKAIuNW/8YUzAB4ESIkudYn2QZACqciMpnepFyWmTtmqQ0mX00jjZyONabdREP/M/frm/vyoomz5QvfPfAeQtB2Lki+W8/9rDq/4l+wJWt+lvLGy9d7lo4Z0seiMpBWih+kj0HombSa7r+gFsG8F66OSODCREY8AcmGnQ298P6pJEl2LhkHL9XqfMcC4mbofb03bm0yfDNnbMa0DvCf+yFZuQ8moBl2HEg6pw6RARK2QAQIIdEndMxcnO+2Nz6pJElWL8QrF/oUeLuuS8LPeFVWzsuRWFcqFy/fFksdw81kzpENaMUGw0DIGFTN2pcuDVOgwN/P1CsT7JYDk80eWbnkOXGuY63fzGtMomcnzVnGS6u6TqBFJsm68uqDqDBSmveNAkY9yLX53dYn2SxHBKH+B/N3RKbR3c+JidvnV6yWI7OA245ZaNhLqv6fe44gA/S6jEn9CF9EgrNQWdwP6xPslgOiWL63R1rHP6rB4sP/L+VtMODFtfPPX7CDM9phpYhJKuRw1LHrE+yWA5Pyqx3LFhImZMOfVIyfMcUWfLOe+mmACVsGqw+SKsAtkwap8YnwcAZ5PqEQ+uTLBaLZaSYkYFDom6U1nzMCQmIU1OW3phwDSPnxwdbn2SxHJ5o8vT2GgfG6vq5xw/u99xxVj2TGJZo4pahYEL4dU7HhbtpEh8SgE9iTLgMTAhXWZ9ksYwkxKZ84bvbJyHRsUfO/OlvHng634W/9E8mLrywfdLPxrnzw3J6vWVYMOAxcmucguGTQOtwGUwIN/8746xPGlkeXZj5xD2zvUp8xnukaI7f04qWNuHxamNkD2PeB8Itm2SJ9YEOhgDBZuCLv9uJdwegGqdb8SicRnaXkAaeDgR0pABfOOdQSJECOI0QgDJNAKcK4vXVAedzH6xPGlk+cc/sVz967tpmoxeJF3xR1+SJ1jiVECzsVP1Q0U68u6LvrjXjHy+t9y1XhyaaOLn/yrJg6/LdED1DBWb71Jho4qTYcQi1kX6weQXg11fx5xcuDyiDB2N90ihzbbPRo4BmUTlIC6HT3Mo+fmJu/HLDDkANE+3Eu/v4iWM/Xlr/7Rde61uuDs2Fp/5Tf+PSXn+NymfOvvBHd9uk3YWnfq38/ne3e+Tr5548++3fzX8hWJ9ksVhGgXDtg71GRKPyqf7mxXJ47sY5AIvFYrHkkxHsJ539zN98rnDwCd+PJ5cvPv/7fcjPobn2xd848FgdIpz99q9pvcvizjsnsbs4X50GnnGNKLQCZ50MeZ/DWP7G5752pXLwQbQnj9X/tz/9na5kr3e88su/4N6Yl5DEt52E9Mrf/Wp28fF/8X/HadrvzHXC5OlH40l/w93vvL5msTHuKSDvY3fXvvgbbLB+75P73HP5C7+6V0vJD6PUUg7NCPokkDieXJ9rvEOCwGAmEgyADRExCGywHJ6FyHsfMTX46Np3HYcAMBOBQQCDQUQMQGvz2uQTe3V2b5vE7u58dTRRSkPpRK0W/nKz+P3VPR/BWw2/nROCLi0Xz3/oH3Qle73j739bMPON6fTtoC07o7cwEX3igb+7VwqfXaxR+Oj2R16s0SO/3LP87sn33xTfBwC+M//b1/8WIYD8G4Wvbh14RAiD6KG/15/8HJpLK209lDbruQ6iekRG0ScBAE+Xk1JRCWHW1sqTkxsAbWyOlyeqzNRoyuWmAeSgM3kwjkOnj1eZqVYrFQoNIZgZtVppfLwG8JVrxX1+e9skdnfnqzfOlhvTc+FaLfsYlWf8qpra4+bxQuILcSq8POG8B9CiemDeeRvAonpgznmbwFV1puHOV5V4/sc5Pftym6994kPvbnEIF0BDxqHxABBTXcYl7TPQRHrfOP3rH/5Umd1fyTfOPh6sX9o+QimaPD1x4XsHPlK7zmce/sixAKopmQzATdIF4wJoitRnSSDBAoHaGgajbJ59Yj6+XB6LGZQknuumRAxGkni+nwC8VfWu+afKH7zA+Z7h/8zDH5n24aXL+7SUD6JTfpDr3t4RGUGftCmCFWf6h/hZ2WQiTqXjNBRASki3qZjJGNTcosPJxtndTxZoTpZU4EXl1jkjaeBFE6WNs+U+KgEADLxd+PDFhgZIQ8i4NZCnScqGBjguSAMM5DA9FZSTsTntt8YZjBuyEHuWJzlE7EIJQCDWJCQUQIbIo0hz4CAFCZ31YfONIM72JNGNzUnEhNY3DIDAggA2e+nCWV/3hhPKuvD9P+XvhgqGONOBBbIOIBNYZP3yTKncG4UBgLn1HwaYGUTZBYO2/7qnUXICgSXBRZq1FAGdtRQHKmspLlKBEY+QOII+yQBMSI2bBcc0LIwRAIwRRkkQsyGX0wacxvTu005pITGuTgutXohxTRrKxvRc31TIOCZUHTIxEgCz0JqRPT+YtBHA9gmng6iiRCBi0eprkk6MG+5VnpqECyzTmOIZBtU4XOc5AFUUVsxJAd6kiVxHhbyVGJqlAZAyDCVCgIGUUZcxgETzEDWrGsVZ/pVp5T810MIIwDCNDcNYwjZJ4gHQWjITETNDa4nUQ+slYGjYhA+eZCBir8ozABrwN8w8AVUcsMd5BBiaxtM+kyaaTVcfdH46FiYM2twcL5c2AWxujY8VakKYesOt1cOif+KHa+/vmoI7XRRjntOMs4+i4DmR2h6n6hv/8YmpH62/emI+AlCtlUI/chyltaw3CuPFKoBrS+EPxx8fyKuf01z3thbDPQrwNopjD2p2JzkuocbAOBol1AFMcGMMVQLAEzFrZ0hWgboQoXEBGEpCdiQLA2ZKs3E8zncwsdsowBMGmkxEOlMKpDwmh6VhDDzYREe4bgqAmRxHCWHYEDNlX0bxMD3oCkCAJgMumQARAA8mQJMANVTO9XAMk6k6QgptjPC8VJAhAjOEMK6r0tSRMtdjyrdAEIKNEVIYx1HGCNdVUmQjdtz/SYij4CJxKBJIJJRLNYAcpIHY1Ox7iOJBZ699BAgAMQmBzCEJFiRAhph4OPzqDQQLQ1owUTZWR1noI2HAEnK4fBIRZz0kIQwzCWmEao2mDtdg1/4tZdC56zkj65OMEY6TaC2ZBYiJwIa0lo6jo3h4BooYzCBhDJPW0nWV0tJsryQcmmO6AECxa9jV8DSk5hCAgpuYMQIr+IPOXQeY1hwSGMgckqHWwX7ENEyvCQDDCBZaaAYTCNyaIpNGGgyZfwVnbglsSEhjjNheoTpcRhmZlnI4RtAnZWscavyzogYARpKoMQAjiBpMgGFwiCI5UfnMrimoMDWOUUFr6NY4RvsyKs/0SYEbPBsXqsWPvlcnAAZEMVOcTY63NFIFzskaBwAijYXa/UzlhnAdEqsi1DzNwCYVA54CsEEFl6cEsElhSFINiYtNYVpTLwymlASYoYZ1Pim5bT5JZfNJUhmD8eFRBLfOJwnFDNJaJPHwLZuukSCeAJDAqfI4gBiiyuME1IZqhu9wDFOda5NsjQMLgmAQm1QKqQEwhJAqW2rUpGDcJH716q4pyDgQynXi1oYboRyZaL/a70Psr06fK5J0pAaIDQmRDUTAQEBqAMZkFXTwaxyM48u0sVd50uSDDMzy1phcFEgaenJGXAWoqSfn5UXNQaDkJib6mPsj4UMWtAugLuPQeGQouy7pAOAGcr1V9jbG2IcGk2mSLmoP4IZIfZbCCALl/PC32/D9hBlIvWwOiYiT2PP8BECcDM/QCFBGVBIVgaRpymWxBFBsJqblVc2Ba/y1QWev14ygT8rWODzi/aQYKCnN2lp5cnyTgc3N8fLYljHUjOQbzQfvFfLiO9/aNYXC5CNOaT5ca+3sccNj4fr61Dv93s1ePXP+4fprN/cn+Y1sz2+tWhoLq0R85VrxL8afyMMah6h8xq9endqrPE+eS9gDIJEA8DgV0ABcpGCSiEEkh2eNA24dC2JqDeW1FhwPGcTUqj83F6fxTqWGCN4eM7jlAJEhG7oDg7KWAiBrKUyUtRQexKBInxlBnwQAoLUNd3PLZYCNrjcLAAybar2Qjd0hGA7Tam2yjbGGeaMaEphBzLxVKwBI9XBMQW81vI26v1h9AHgg++Z1nM0uXrtxAYCAU8c+NYD8dcIzF3bGcSjs6KRuX/N7a3R8+rG9UjhVS+EsbH/kWkrHPtmz/O7JlYq4AuwfxyEj/0Y5VUuAOawBwM6hOg9AHQCmgek0wcwnBpG7DrhSEZcBYGz/ljKhh6kv3imj45P+5Bd/0xUSwD9fM39a0de8hX1uZuCvTslv/NJvMfDU7/4jddDZoP1kW5Gz76SvTe6+C3UbDTz7tV93CTlU5D//j578O5/8eQD/9v3ljfrB9z9wXP/CfbMA/vdXnv/6D3bvcg2KZ77265lR/pfNqwcOA2ngF8aPu0QM/Pzv/ePbjPLBX/on5fdf2HGCwONn/vQ3+3aCwC/87BN/++f+MoAfxUtPjl03+3aGBPB8bfbD/gLybZQH3k0P7KJq4Kf3uVlLudMog2XbKP/X+ytvXDl4tGBhgp7/pd8C8Acvf+dfvfRsz/PXX3Lkk5JyUPns6UPP2DvS+b3N1zWzkPiHswfblQT/zib/Yvlj17/8UHrrmtetyWNJoVANW4sa4rBYHaNrkw/dkQZPf/+yX7n90LxuKfIrc6KdYbl/uWUA3KlI21rsqQiAtZ87Gc2W7vxeqWPGFNRMK3FjCuncdPTQLYnXJ+ZeTVa+37xO0zg+efDcbE3y720tPhbMxQ9MXz12/4H374q32ph5cZdzdI5oFN9xfn/zdc0MIaI2jPL16iqAXyx/7NqX7r+tdumINh+Y3B7/M5qufek+0J2JdmyUdmhMHHs9Xfl+8zoBL0VtNH9Z+bN4JedG+QfttZSvV1st5U6jtE2PjTKD41MHt5Sq5N/ZXHosmIseOHbt2K4tGgA4ouoD0zdHmjVd//KD2zVtL6MMnBz5JOM7qhzIenroOXsltitaBxWOXXH7O5agVpSP7AYCJLF7u5/TgWOCXV6au6eI6WiG5XZF2tMCeysCIJotsUO7PDUMgW8mDhCLOxIXxMQ6a2Jt7wkze+fzYJijhd2fC3kxCoAInC0gyNBgV9yZq8MYpR12GqXNZiLvAqO0Rz+M0sWWsk9N29soAydHPgkAKRPPhE49PcxsMYFl57tDCNqX+tY2YRxiQTcfuIKMJO3f8v6iSp67sedGzzwo0o4WOEgRACBKxz0R39JUjCZmwrbDM8Adid+egfZggV3zeSDGc5x6sk+B58EoAFAFS9r5nfblbc+ywxmlHaxRdjdKGwyfUfaoaQcaZbDkyye1WL9PqZ/p6BeO82NMQyS64+1xBZiN+5T62M7vDFVY1EzjdPaRqWGaoarcnNpxnB+j9N7BiQ9UkQO1QNuKiFir1a/cuoeSAHB6bPszqznTfPCWn82+QdKIpLMmSoJFcqe4g3Gm/11b9w26dgFkoltOXVKrX7ld3CGN0gbWKLsbpQ1xw2eU3Wtau0YZELn0SSBBK0Istnm3MbNH2nLOt4sTREQkRLWVGyIhao5c7lzcIBXZX4uOxbFwxI+x8630tunxVmSDFkp/5EjvYXeK2xelP9J2BJkB165dFifvUPOoRtkXa5QWuxile+JyZJRdalonRhkM+fRJGX3uWu4UR7tJP3R+BqVId7XIHp1868decpu4LjPA2tXVmFC9LaU+ixugUbpKXowyXNHHWgzNLkWLxWKxjDzWJ1ksFoslL+R57K6vfDKk84VWR/d8gT7kY8FpfZyUcAi/Mi0AvNTk5/t9jlJnbCuyjxYYBkUA/P0Zku2NfRuuiHCa2IAxsdtufVXy6qVJ7UoxLdg0iNp9G2NuEqEoFs8XOh72L4rF/2ZaaO5YnHEn5ZguPOS5x3c5m2Br8hgEGYcMVQRRmwEPf3s1R7tELZa9sD6pxfkC/a1JXEoBYEKgZuDdaOl1g0mJL5Rw0sWYoJw/yrcV2UsLYDgUAfBPZ8SfN9s6JJS5Rm4xW97qn97FARhPpn7AkqhIzEn720iYEyL2aOu+7MS+TvBo66kSTOfi2ClQwO68KyZ3iYKaFArZEessakS7zhrezqcL9M/WbsRQs1hyTN590pNzlYUwVoZerEydn10F8NLK5KNTm4E0y5H37cVjB6bQPpdS6LVQGlHx0ldkcm+zCOBiWJ/Xjp/4hnBhqn7oOcP+K7JpRMVLL3VbkWk/+cLCCgGvrk2cKTUmvbSaOm9tlR6b3mDgO4szS1E3j3j5oEqn4wKAC6XqySh0laOluRDW7quNA7jsR+8JBcCYggjrWT9p/PLKnemoolcPy8aTou4xO0QRgPNxQRqR7lFK3w1qAJgdovRjfun9pNZpP2k6KD1X3zI3xJ0pNkquMkyXG+HpYgPAlXo4H0aO4LqSF2rFbXFGNkSkC8ub7tYuG2Kq4QxLYkmmcTpbV3nayLNxuE8p6bCbnaSe1oE+tBTNs4ZnjZ5t/ydGz+ODR1Lg+kNMu0VO4IgQAVUApPRHO8qP6cZShD43zN6Rd5+0EMYMnCo1XlsfH3eVYZRcfarYXIr8k4XuH7kojVBu6jJcYhYGBJfggZSbuol3lJBkI6OIL8yUlzS1LHvpyUKzppx7io1rzaAgtSNM6HQ5bpsDsNRg4ZNxWCg3dbTjCYZgJnPEEwj2L6XuKLCDkqsATHjpUtP3hWHAk2bCU3Ulx90jnQrR01K6k57WgT60FEnLhqSgJQB//fR1BhbC5h9dnTs/t2YYf1GZfGK+shL5njD/5sKJ1m8IquiKSBeubu3+ovDAdLbvVaQm67guhNGXTy7FWr61WfpQuVpTTtlLfliZ/OjklivMH18/dqkeAlDmw6Ibi/T63DB7R959kjJ0qtQwTAwac1IgOz+NThSai82g6+JSL3UZxksVmKQGoMhoN3UST3lHGvkYGUUYCKUOHW3Qyn81dZlR9hMwuNtbHxJiEiYLHKHc2NWOdhMNhlQEJOJIr/+9K6VdMUwTXsoMBjxhADDDMMZcVUuP1BJ7Wkp30tM60L+W0or8pk+XGoaJyEx5TQBE44L06VJ1sRncEoeQGMSA2SMmE6M1tXej20Nmwo3h4afVEKRPl5rV1CXSx8IGGERmtyCHh6fPDbN35N0nvViZem19nEHXm8HTV+YBLEbBM1dnJXFTd//IxUsycYkVeI3JcWMAFaaUjOvGmunkEVIeGUXWEy/LfyX2l5qBL3TKYj1xn748D2Cl28d6bhBfyvKvZCK0K7QC1rSQLaWOlPj+pdSN7N/C5Ua41PQZqCnn7a0SgJpy3q0WBZAeTdz6waXUzRW2Pa0DfW4pvRPX55bSZ3G9I+8+6fzs6rirxpz06SvzXzy+zKDnlmY+P78SaRlp8Y337+muuHubRRaGpHbc+FSzRGAnbMynHilHaJkUDr8qYGQUmfKSL51YTg29vFb+cHlLECTxDyqTn5pZk4L/8Mr8B7VCFxWZNeJEEgDklDYXGiUwEcgpbZ3aKkGw58Zb4vCjXvuX0sVCtYuKADhdbPjCeMK8vVW6d6wO4IN64WypoQwpptfWD3/S7pwR9+xbSh1FJT6QntaBPreU3onrc0vps7jeMQT7k8zN0y9p+7gx07td0rT9f755ulk3XppHRhHe8YQznH0B7t0xq7TjinZ+1w15PSulXeHdrrtTbD0tpTvoaR3oc0vpnbg+t5R+N8zekPd+0ksrkyVXM2MxCp5bmgFwpRE8e23WEdxQ3e/IXwzrLkGRqTA5YQPAMjhxY89JlUf7nRJ4ECOjyHriZmuflpp+JfYCaRJDldhPjABQ6fbangoZChoAlo1I/YYLUuAVLZxCHcDy0Rrb/qXUjezfwpV66EnDjJpyPqgXAGylznvVoiBOzZHeDlfIyANKqZvq9LQO9Lml9E5cn1tKn8X1jrz7pEenNk8Vm4rpmauzn59fIeDZa7OPz1VCaa43/fe73Rud144H0m6akplPPQISNz5O5KbZuqjDHzk8MopMeCrL/wsrUw+P16b9ZCt1Xl4tf26+wsA3Ly3UVNg1NYAxonnlEJAGjYXUd7XU0ignWoh8BhKZbhwh8f1L6X3Z5WUO82E04SnDeLdaPFtqAHivWjxdbDiCa6lcTw6/Pm78oFLqnhJAj+tAn1tK78T1uaX0WVzvyPvYXSDNUuQHUkviSMu6ko7gUJqlyA9l9/el+4mvmZzEc5lIOdCOx8JNPM3kxUd60RgZRQRYGdpKHZc4kDpTyhFcS51EC3nIw9L2xDdEWkI5LpObKRX7DoiUS1oG5khvsr0rpV3J9iE5ggWgDKWGBPH2l0dJuaeldCc9rQN9bim9E9fnltJncb0j7z5pOfJ8YRabQVPLSIvEiIaS15u+L0wvtoAZQrZ9RzMJLYWSikkBbuId0aQjo0hiRC11DFM1dRYjP1OqpmTK1NAy6vbKKAMSyhFapiw02E08A04NkRZCOfpoyvSulHalrqQkrqVOyqSYNFNqRC2VkriujjRi0dNSupOe1oE+t5TeietzS+mzuN6R97G7ndu2t9fAdL3/nnHSxYWpera17CSQLU41uIBkAAAcW0lEQVRbAIA0GUsBnPbo5eiQ7XtkFKnE3jcutPL/xubY9vfZ4uaus1DSSakG4DgE+1E2mnYKMvFrAGaB2ezgJm6QUwAYjODELuloT6ZeyJKoIJhTIgKgC/uV0mdaKSsieFQ/7Xa8WsCj+qcLtC0OpoQYAD7iArEH4BEHSD0AJWCugG1xLEPy2Z2RcmyXVYVxWGTKDsxutFLGAaW0y+HqR6CndaAPLWVnHIdnr978/sKNhZZvb975I8YmDFCbAWZ2qwiaoIEdQRkWG/j6u60/vrZ288afrN/+067Ecehzw+wdefdJfeOlJo8J2r9uvBzx8/W8d4FHRhEA/8Mqu+21VmNCEUZkGODxpTsaPaCKbqMETVJEIbNL1O5cEbNDpB4LitdUx7GF5mXx1WhLdy7OuLFMdbi+5VZ3+WF1jCDJSDLNUIi2lvX/sMlDs4+/9+yM49AOhmeFWFUn33U34ukfXAmv7OKyrn/5QXaF9qVa/YpDb3Rwpl+X4jiMDNYntfheg7/XGIWaMTKKAPiNlXYH9JWec6ZeEFqB+eQLP7nzhmiutDJ5Nh3znJUxo0vbx+8eiDFFIaK/MX78T+rLpsNnxwPu8X+6fDU1HYtT/qq7Gc/86PKuj79rkw+1Hn+VJxy53Pej8EaF9sMoMAMMMiBDrGlX/04GBGTdVmGsUQ5N3ueTLBaLxXL3YH2SxWKxWPKC9UkWi8ViyQvWJ1ksFoslL1ifZLFYLJa8YH2SxWKxWPKCXQtusfScT4Z0vtDWTivmJhGMOynHdOEhzz2+y8mqW5PHIMg4ZKgiiNqPr2q48ukizrk1p8M9mied2pg0//BYB+J+e7X7cYAsdwPWJ1ksPed8gf7WJC61EQqVOSFidgoUsDvvisld4jgkhQIILIhFjYja3wrDXDvhoixjv8PoZ2MUFwQ7pXbFfbpA/2wN3T+p13IXkHef9ORcZSGMlaEXK1PnZ1cBvLQy+ejUZiDNcuTtDEOSc3Ejo8i0n3xhYYWAV9cmzpQak15aTZ23tkqPTW8w8J3Fme4GJeupuH4a5VIKvRZKI1IvvSSTe5tFABfD+rx2/MQ3hO8GNbTiOKRGNkSkC8ub7lZ8Z1LVcIYlsSTTOJ3txg2lzg4JXGwGZS8NpE60qMTe8UIE4EKtkMXTM6bwc8XaWde9rHZJdh9OON6STp+vFTJxp408G4cALpSqJ6PQVY6W5kJYu682DuCyH+nw8J0k21KGQlzvyPt80kIYM3Cq1ChKNe6qkqNKrj5VbDJwsrDLsEZuxY2MIr4wU14SSF320pOFJgP3FBvjripIPeGmodPlEDY9Fddno0gjlJu6DJeYhWFpXIIHUm4qzZEinjnEodQOcSD1uJsCGPdSXxqX2BfG7XZMaAdgqVmwT8ZhodxUMnmCIZilPvyRGwBsSxkScb0j7z5JGTpRaBomBo056YSbMkMxnSg0FXf/1LXeiRsZRRgIpZ7yE4NWgpoFM8p+UnIUd1uXnorrs1FSL3UA46WKmKQmoRUZ7aYOoLwjDXRx5pYczYBhGnMVMzEjcLQnTNePGU2ISRiSShMrN3YA7SYaDKlImEQcaSbJtpShENc78j5292Jl6rX1cQZdbwZPX5kHsBgFz1ydlcTNHkRf7524kVFkPfGyBCuxv9QMfKFTFuuJ+/TleQArsdeV/PdHXJ+NckkmLrECrzE5bgygwpSScd1YH+2REWmZhX9uaFlLHYdYgyIt3t5sfdmV/G+zTnwpy7+SidCu0ApY00K2lMJRXnZtSxkKcb0j7z7p/OzquKvGnPTpK/NfPL7MoOeWZj4/vxJpGWmxHco+/+JGRpEpL/nSieXU0Mtr5Q+XtwRBEv+gMvmpmTUp+A+vzH/Q1WMFeiquz0a5t1lkYUhqx41PNUsEdsLGfOqRcoSWFwvtxmm9k1Dq+8frmnG9GcwGcRYL9GojOFloCsJPt0obSTdHROaMuCcJAHJKmwuNEpgI5JS2Tm2VINhzY+DwXSXbUoZCXO/I+9gdAHNj5IFvxH+nHV8OkbiRUYR3PHIMZ1+As+jJPaCn4vpslO111HQj+4T213LvB+9YD8fb/+mZUXbkmXYoha7Ew7YtZSjE9Yi895NeWpksuZoZi1Hw3NIMgCuN4Nlrs47ghup+R7534kZGkfXEzRYjLTX9SuwF0iSGKrGfGAGg0u21PT0V12ejXAzrLkGRqTA5YQPAMjhxY89JlXckv9TU4kKtAKCWOg0lHcGaqaFkNiTYdV1WyMigAWDZiNRvuCAFXtHCKdQBLDOO4mZtSxkKcb0j7z7p0anNU8WmYnrm6uzn51cIePba7ONzlVCa602/6+e09k7cyCgy4akswRdWph4er037yVbqvLxa/tx8hYFvXlqoqbCLivRUXJ+NMq8dD6TdNCUzn3oEJG58nMhNXQW8Lw+/zCGQ5mypAeBSPTzmJ6GjYy2uN4MzpQaANzdL2YOpW4wTzSuHgDRoLKS+q6WWRjnRQuQzkMg29mHtjW0pQyGud+R97C6QZinyA6klcaRlXUlHcCjNUuSHsvsbxXsnbmQUEWBlaCt1XOJA6kyKI7iWOokWstvLjnsqrs9G8RNfMzmJ5zKRcqAdj4WbeJrJi4/0GpuNNcVaSGJHmLqSjjCCONFCGxLdXnLlGyItoRyXyc2Uin0HRMolLQNzpO6FbSlDIa535N0nLUeeL8xiM2hqGWmRGNFQ8nrT94XpxRaw3okbGUUSI2qpY5iqqbMY+ZmUmpIpU0PLqNsro3oqrs9GMQQ38TSgmYSWQknFpAA38Y74wNBMiRYMxFrUlCOJa6mTGGGAlEkdbfPTnRiQUI7QMmWhwW7iGXBqiLQQytFHU8a2lKEQ1zvyPna3cx/19hqYrvff+yBuZBSpxN43LrQSfGNzbPv7bC1y1+mpuH4a5aSLC1P1bOPiSSAp1AAsAECajKUAPgMCwKyIwDIkn90ZKcd2iS0Uh0UmQBBTg7LDtuEgKQN4QAIqgEIJmJZA7AP4mAd4AMDcOOnSlEw67TlNiMQnfLa4LU4npRqA4xDsR9mY4ynIxK8BmAXEEeaTbEsZCnG9I+8+yWIZAV5q8pigdh7UzA6RMm4sUx2ub7nVXSaZqmMESUaSaYZC1NrPhjEhUV2Sd011NuXDjrei1Q/bFvfDJg9N2ABLzrA+yWLpOd9r8PcabQ1pGVMUIlL+qrsZz/zocnhl8857rk0+xK7QvlSVJxy53P7ya6Xn/t6xyueL4y826x3kHngsGHs9iX59aa4jcRbLIcj7fJLFYrFY7h6sT7JYLBZLXrA+yWKxWCx5wfoki8ViseQF65MsFovFkhesT7JYLBZLXrA+yWKxWCx5wfoki8ViseSFvO+ZfXKushDGytCLlanzs6sAXlqZfHRqM5BmOfJ2xgXJubiRUWTaT76wsELAq2sTZ0qNSS+tps5bW6XHpjcY+M7iTHeDkvVUnDVKDsVZowyFuN6R937SQhgzcKrUKEo17qqSo0quPlVsMnCyEA2RuJFRxBdmyksCqcteerLQZOCeYmPcVQWpJ9w0dLocU6an4qxRcijOGmUoxPWOvPskZehEoWmYGDTmpBNuygzFdKLQVNztEPy9FDcyijAQSj3lJwatBDULZpT9pOQo7rYuPRVnjZJDcdYoQyGud+R97O7FytRr6+MMut4Mnr4yD2AxCp65OiuJmz2Ivt47cSOjyHriZQlWYn+pGfhCpyzWE/fpy/MAVmKvK/nvjzhrlByKs0YZCnG9I+8+6fzs6rirxpz06SvzXzy+zKDnlmY+P78SaRlpsR1bPv/iRkaRKS/50onl1NDLa+UPl7cEQRL/oDL5qZk1KfgPr8x/0NVjBXoqzholh+KsUYZCXO/I+9gdAHMjDDGDskva8eUQiRsZRRjYPoDTcPYFGODe6NJTcdYoORRnjTIU4npE3vtJL61MllzNjMUoeG5pBsCVRvDstVlHcEN1vyPfO3Ejo8h64maLkZaafiX2AmkSQ5XYT4wAUOn22p6eirNGyaE4a5ShENc78u6THp3aPFVsKqZnrs5+fn6FgGevzT4+Vwmlud70u376ZO/EjYwiE57KEnxhZerh8dq0n2ylzsur5c/NVxj45qWFmgq7qEhPxVmj5FCcNcpQiOsdeR+7C6RZivxAakkcaVlX0hEcSrMU+aE0B/8+N+JGRhEBVoa2UsclDqTOpDiCa6mTaCFFl4cJeirOGiWH4qxRhkJc78i7T1qOPF+YxWbQ1DLSIjGioeT1pu8L04stYL0TNzKKJEbUUscwVVNnMfIzKTUlU6aGllG3V0b1VJw1Sg7FWaMMhbjekfexu537qLfXwHS9/94HcSOjSCX2vnGhleAbm2Pb37+9VTp64n0WZ42SQ3HWKEMhrnfkvZ9ksVgslrsH65MsFovFkhesT7JYLBZLXrA+yWKxWCx5wfoki8ViseQF65MsFovFkhesT7JYLBZLXrA+yWKxWCx5wfoki8ViseQF65MsFovFkhfyHlvoybnKQhgrQy9Wps7PrgJ4aWXy0anNQJrlyNsZFyTn4kZGkWk/+cLCCgGvrk2cKTUmvbSaOm9tlR6b3mDgO4sz3Q1K1lNx1ig5FGeNMhTiekfe+0kLYczAqVKjKNW4q0qOKrn6VLHJwMlCNETiRkYRX5gpLwmkLnvpyUKTgXuKjXFXFaSecNPQ0d1SoQ/irFFyKM4aZSjE9Y68+yRl6EShaZgYNOakE27KDMV0otBUTEMkbmQUYSCUespPDFoJahbMKPtJyVHcbV16Ks4aJYfirFGGQlzvyPvY3YuVqdfWxxl0vRk8fWUewGIUPHN1VhI3exB9vXfiRkaR9cTLEqzE/lIz8IVOWawn7tOX5wGsxF5X8t8fcdYoORRnjTIU4npH3n3S+dnVcVeNOenTV+a/eHyZQc8tzXx+fiXSMtJiO7Z8/sWNjCJTXvKlE8upoZfXyh8ubwmCJP5BZfJTM2tS8B9emf+gq8cK9FScNUoOxVmjDIW43pH3sTsA5sYBiQzKLmnHl0MkbmQUYWD7AE7D2RdggHujS0/FWaPkUJw1ylCI6xF57ye9tDJZcjUzFqPguaUZAFcawbPXZh3BDdX9jnzvxI2MIuuJmy1GWmr6ldgLpEkMVWI/MQJApdtre3oqzholh+KsUYZCXO/Iu096dGrzVLGpmJ65Ovv5+RUCnr02+/hcJZTmetPv+umTvRM3MopMeCpL8IWVqYfHa9N+spU6L6+WPzdfYeCblxZqKuyiIj0VZ43SIaT0RwFUNf7Dauur642bf15u3P4DtfaV7OLCU7u8qzOAVcIqFAiA0bMA3t26ecPajYVvleadP+6Ofxp+owxGXO/Iu08KpFmK/BOFpiSOtDQMR3AozVLkh9Ic/PvciBsZRQRYGWpq6RIHUi9F/qSXOIJrqeMKI0WXhwl6Ks4apUPYoTdAvBBGXz65FGv51mbpQ+VqTTllL/lhZfKjk1uuMH98/dileuvxZzxBhsEYf6tyZ3Kq6NXPTBhPOvUENxaG/fXT1xlYCJt/dHXu/NyaYfxFZfKJ+cpK5HvC/JsLJwAYnhW0Cpw4ukrDb5TBiOsdeZ9PWo48X5jFZtDUMtIiMaKh5PWm7wvTiy1gvRM3MookRtRSxzBVU2cx8jMpNSVTpoaWUbdXRvVUnDVKxwgDMikj0RCkG5oqiSw6yWrsNAxAOmVOGCBz4x+3/sHs/q/1V97+yVoiik6yljixgWbDMJHBaiyLTrKWyNZt4KyXdXRGwSiDENc78t5P2rmPensNTNcHVfogbmQUqcTeNy60Enxjc2z7+7e3SkdPvM/irFFyKM4aZSjE9Y6895MsFovFcvdgfZLFYrFY8oL1SRaLxWLJC9YnWSwWiyUvWJ9ksVgslrxgfZLFYrFY8kJO14Ibns02dbcHC6wefNcB4jo4vKt9cSOjCAClP9JJXo4aG7934npaSn0WNwijtJ8I40b8hfVzu24nIjSBJlTnObFGGZS4XpNLn1R+2zHvqrWvCP+tA3fGsZ4hZx3FnwCPGk+aznfSifI7Dr/T2W+IgYNjv6uTH4Av4eJfxfi7B2/xi6cQbKjJ90CPqjG/Y0UI5tQl8KWOfqTAIDqwlhrPcab/HTo8goWCR1gK43W2WY8lGVceQpwJSNTbuK/8tmPe7ShlEOewdg3EKKbxMKdzJFcOzl78sDP5TROQU0/BfOKbb955TzRXqjxxJh3znFrScdFao/S6pQyIfPkkluStNUGUxbcl1jcC9e7zGyY2QmkAZJgOUUGVEeg4iIi33jTuniOfquC6GzEL0gWXAaANRYgzXYAskG/HipBh6lwRMNREkE744ZW9bmCnnnScLECGwUwdBlgmBhnODNoRog5KNTt7GuW22tUp+aldwMCMwmyYmdCWdYRWomFIaXYE8S4/IdbssLdeBx3y5d0apRctZbDkyCeJRMvsaMft0Ort2yj7yeGijRzKAQCQsRbJLqMO3npz/lvvAVAlf+NjcykgkoN9EitDpGUtQQCR6I6z5MCpJXyopy0YzsadQS4BwFttRAulQwa75x3/7fhXh5HIjvArd8QBBbBr7eo49cP9qsu1C4M1Skc/ZwbYGqWN/Oz4b8e/6nJLGTg58kneevPEv//J9scLT/H4W5UDX2mjctGvVqbe+Qn9En92q8QdWkiM4cQ331Smm4fVi0SHVzaz67G3li889dcm3lhpQ5GCX12ZeudH9Et8fr3YsSIFnPw/f9xdRQDMvNjZYOBOJj4+f8+Hzp7f7Cy0yfy4d+HdyskXf3LwrZ1wW+3qlPzULgzUKBtnjzWmx8O1g8fu1s/xiW++uWv3aJtuGeVZMddOH+Ov8BJG0SiHlptPcuSTjsgfvPwdV3TcG31z+TIf8l2rV4yMIj+6/kHB8zsdlHlr+fLLV97rSYaOgDVKno3yu8V7TqSL+w7i0SV3/kzjKrGxRsk5o+OT/tVLzw46C91hZBR59er7r159f9C56A7WKDnkplG+8OnZ+tvT5QRAFPmup6TQxog49sIwArC24V4pz//Ll/5Y68PM9/SaUTLK0aFz584NUPx/f/aLkvZ4/STR1lApbZ/wm1dGRhGLBXSjoh54I4G7fwbVHrLEgSsdGH3Mz9DyK+8/owf9CBpwP0mS+PbG+7t2pdfPPhGsXzqw+it/wolr4Xp++7Ajo4jFEk2cTsNJp7lx8J3l0+UL3z3EorhDsHH2yfn4cnk8BhDHvuumQhg2Ik7cIIgBbG551/1T5Q++h33nt+5ynirfC6LDrzfpEoMfu2Ow2a0UDGDaOLkru23XFHLCyChisRjwjfp84J0wfJiF2ofKFZi50fQBGCOVJiIGkzbCNH0AzJzd0+kqFUv/GbxPsnQXIbkw1vHLoFbUrA3NSZT9x5Zq3iGEQcSgJPFcNyUAxEnseX5CQJq6g87fkbirqp/1SaNGYUw/+HPVJOpgkZiUSGL6yZ+N9y5Xw44t1WHg5so13vFhBHpGd1X1y69P+ur4T0QpPbhGUfVKPXhjrS95OhT9VySJhL92+ljbtv0pXw+LaRcEjzS2VAH8zJQ6NX6J2tjcw/KNPxFkOoxNcHgYUewD0FoYI4iYGcZIjglA3hZ/H4K7p/rl1yfdN/n2VlQ+cPjXdyNHzL7RlywdjoEocszBQmkxUsGBd06E6++sloChrL59xpbq6VL9xNhilLZRAoX179BM/xa6EQI/W+PgOa6SwhimJPFaXybDPXaXcZdUv/z6JACbeiaYmQVg0jTe2AiPHQNgkjTZ3AyOzWTXXOswnuYgGIgikQqi4r3C8wEkaxWnNJZdx2sVd8f1BB+8hsqyjS3VKA2axXul7wOIV1edUim7jioVb2xc+F523f8SYICZQCBibYQQhsCGiTqNUZpj7obql9MwfDcgaE3NTUnGIU06peamEByIiHSKZvXQoRv7zoAUIUJUI534FAsCRVukE0lGkqFoC+oQRwRYbKmCbpZAdEsJCN0qgf43TEaaCqVIa6Sp0BpJIpWGUpQqMfQjdzsZ9eqX634SbejkWxcB0JSH+0X8rcvZtXO/SZ7Nrn18YsCZbIdBKaKfX1HLMQD3MUe9XOHlGIB4LEhfXjXLCQB6rICT3Zc72thS1d/dUQKvtEqAPh6kr7ZKAB8votDXLNXdcl3dcD3bs12E1tFMzrC8vB7MyFe/XPskk6YiIFFm1BKdSBGAyuBqqlIgAMow1TxGCrmTQSli4kTMEVdZp5pjRXPgKnSqROuadDKUI86DxZaqiRKaJdRYJZoj3SqBRMlY0RxMlUzS14Z5Wm+BaP/T6iZNdWv4VzrgLqh+ufZJ5DrUTDkCTbrClWjq1rXDupkigph0gWjQ2TyYQSkiXI+XYjCE47ILXkqya3KFWUrALNxRmPvtM7ZUhefxcgyGcF3j3SgBt1UC1PcS2Lz4cj/FDZaRr3659kmYcNyvnqKkTm6QbK27Xz2JpAk3RHVJfvUkksi4IWrVQeeyDQakiHzimNAxXI+qK+L0DHQC19fVDed0mXXKTpjUNrsudOSxpSofPyZMqwT4RgmkW5vumVYJxFtbg87jyDLy1S/XPok2dfrHlwDQlMf3i+RbV7JreT+pZ7PrIZlPGpAi+nutoWfnMU+/stoa938sTF8ZkaHngWBLVb+wWwl8PLxlPqk42DyOLCNf/XK97s6kKQdE80CSmCRFAJoHx6lKUwTAPJl4aOaTBqKIiROaIxRg0pTjmOZw4zqhOaAw9EPPA8GWqokSzBIKUEnKUaY1dNIqAQ77PZ90VzHy1S/f/aQd0zDSFXxjGsZxddrUiFhMekM3n9RPRbaHnqUj2RXZ0LN0HIzK0PNAsKW6PZ/kuo7yqFUCg5tPuqsY+eqXa5+0YxrGT7Y2vB3TMN5XF4ZzPqmviuwYeq64p6dvDD1vOqdLrNUIDD0PBFuqO+aTbpZAurXpnpnISsDOJ/WOka9++fZJBHJduGWTJpql6/pwfZMmKYe+68P1kQ7JEMGgFCGgMA4gQSBJiMIEAIWqIIcKxdHZstFn7vpSZQEE4wAiDtwbJaBR0+SIrATuhlIYFKNe/XLtk8ZFhddWs2tPMm5c+4KxVmldOxHiscHkr20GoojvRFxrHajsglFb2XFduXk9Eps2+oYtVd/dUQK0owRuux7dEhggd0P1y69Purhxuq0DweLStU2v99k5PANRZE2RbByjNt5Xq8kYY7lbckcbW6rXN6UjZ9u5cysaM6j1Oj93FXdJ9cuvT/r/Xh3uUdFt+q+I55v65MV62/cXBat0BDr9vcWWKoDXL66+fnHQmbgruXuqX359kuVwNGvy3VdKnf5KqaGsvn3DlqplgNxV1c/6pFFDK9qsDPdi0BxiS9UyQO6q6pfrPbMWi8ViuasYfD/pqfK9g86CxWKx3O3IfCzhp3Pnzg1QvAQNz7l8FovFMspo7t9p9Xsx4H6SBoOHeCm9xWKxWLqInU+yWCwWS16wPslisVgsecH6JIvFYrHkBeuTLBaLxZIXrE+yWCwWS16wPslisVgsecH6JIvFYrHkBeuTLBaLxZIXrE+yWCwWS16wPslisVgsecH6JIvFYrHkBeuTLBaLxZIXrE+yWCwWS16wPslisVgsecH6JIvFYrHkBeuTLBaLxZIXrE+yWCwWS16wPslisVgsecH6JIvFYrHkBeuTLBaLxZIXrE+yWCwWS16wPslisVgsecH6JIvFYrHkhf8fbXpyPGF+V4EAAAAASUVORK5CYII=\n", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "execution_count": 105 + } + ], + "source": [ + "library = gdstk.read_gds('gds/cp.gds')\n", + "top_cells = library.top_level()\n", + "top_cells[0].write_svg('images/cp.svg')\n", + "cairosvg.svg2png(url='images/cp.svg', write_to='images/cp.png', scale=1.0)\n", + "Image('images/cp.png')" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "OtNCb9oL64wg" + }, + "source": [ + "# Complete PLL loop design and simulation\n", + "\n", + "All the blocks simulated in the previous section are integrated together to form the complete PLL loop." + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "X9QopAqdgns9" + }, + "source": [ + "### Generating gds and `spice` netlists from `.mag` for complete PLL" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "AJJ52f88gwHW" + }, + "outputs": [], + "source": [ + "!cd 2.87GHz-MWG-SKY130/mag/ && cp */* ." + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "2fOHq_S9gns_", + "outputId": "254a9ecd-c231-4c7b-a8a6-4a9224036a75" + }, + "outputs": [ + { + "output_type": "stream", + "name": "stdout", + "text": [ + "\n", + "Magic 8.3 revision 464 - Compiled on Sat Mar 9 23:18:29 UTC 2024.\n", + "Starting magic under Tcl interpreter\n", + "Using the terminal as the console.\n", + "Using NULL graphics device.\n", + "Processing system .magicrc file\n", + "Sourcing design .magicrc for technology sky130A ...\n", + "2 Magic internal units = 1 Lambda\n", + "Input style sky130(): scaleFactor=2, multiplier=2\n", + "The following types are not handled by extraction and will be treated as non-electrical types:\n", + " ubm \n", + "Scaled tech values by 2 / 1 to match internal grid scaling\n", + "Loading sky130A Device Generator Menu ...\n", + "Using technology \"sky130A\", version 1.0.471-0-g97d0844\n", + "Cell pll_full read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell pll_full geometry by factor of 2\n", + "Cell ro_with_cbank read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell ro_with_cbank geometry by factor of 2\n", + "Cell cbank_smol read from path 2.87GHz-MWG-SKY130/mag\n", + "Cell switch read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell switch geometry by factor of 2\n", + "Cell ro_var_extend read from path 2.87GHz-MWG-SKY130/mag\n", + "Cell pd read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell pd geometry by factor of 2\n", + "Cell tspc_r read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell tspc_r geometry by factor of 2\n", + "Cell and_pd read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell and_pd geometry by factor of 2\n", + "Cell divider read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell divider geometry by factor of 2\n", + "Cell tspc read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell tspc geometry by factor of 2\n", + "Cell and read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell and geometry by factor of 2\n", + "Cell nor read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell nor geometry by factor of 2\n", + "Cell prescaler read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell prescaler geometry by factor of 2\n", + "Cell nand read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell nand geometry by factor of 2\n", + "Cell filter read from path 2.87GHz-MWG-SKY130/mag\n", + "Cell cp read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell cp geometry by factor of 2\n", + "Cell inverter read from path 2.87GHz-MWG-SKY130/mag\n", + "Scaled magic input cell inverter geometry by factor of 2\n", + "Processing timestamp mismatches.\n", + "Timestamp mismatches found in these cells: pd, tspc_r, and_pd.\n", + "Extracting pll_full into 2.87GHz-MWG-SKY130/mag/pll_full.ext:\n", + "pll_full: 2 warnings\n", + "exttospice finished.\n", + " Generating output for cell tspc_r\n", + " Generating output for cell and_pd\n", + " Generating output for cell pd\n", + " Generating output for cell switch\n", + " Generating output for cell cbank_smol\n", + " Generating output for cell ro_var_extend\n", + " Generating output for cell ro_with_cbank\n", + " Generating output for cell tspc\n", + " Generating output for cell and\n", + " Generating output for cell nor\n", + " Generating output for cell nand\n", + " Generating output for cell prescaler\n", + " Generating output for cell divider\n", + " Generating output for cell filter\n", + " Generating output for cell cp\n", + " Generating output for cell inverter\n", + " Generating output for cell pll_full\n" + ] + }, + { + "output_type": "stream", + "name": "stderr", + "text": [ + "freeMagic called with NULL argument.\n", + "Total of 2 warnings.\n" + ] + } + ], + "source": [ + "%%script magic -dnull -noconsole -rcfile {CONDA_PREFIX}/share/pdk/sky130A/libs.tech/magic/sky130A.magicrc\n", + "\n", + "load 2.87GHz-MWG-SKY130/mag/pll_full.mag\n", + "\n", + "extract\n", + "ext2spice lvs\n", + "ext2spice cthresh 0\n", + "ext2spice\n", + "\n", + "gds labels no\n", + "gds write gds/pll_full.gds" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 942 + }, + "id": "PJqlNMO2gntB", + "outputId": "609e396e-7c99-493a-9b53-f836e0131e3e" + }, + "outputs": [ + { + "output_type": "execute_result", + "data": { + "image/png": "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\n", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "execution_count": 80 + } + ], + "source": [ + "library = gdstk.read_gds('gds/pll_full.gds')\n", + "top_cells = library.top_level()\n", + "top_cells[0].write_svg('images/pll_full.svg')\n", + "cairosvg.svg2png(url='images/pll_full.svg', write_to='images/pll_full.png', scale=0.7)\n", + "Image('images/pll_full.png')" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "aXELOD6QgntC" + }, + "source": [ + "### Simulating PLL" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "FCz3ahC1rH23" + }, + "source": [ + "> Note: The following cell takes quite a lot of time to run (possibly even days....which is beyond the collab timeout limit). For a quick run to verify that the netlist runs and signals are as expected, change `.tran` time to desired value." + ] + }, + { + "cell_type": "code", + "source": [ + "!mv *.spice sim/\n", + "\n", + "path = \"sim/pll_full.spice\"\n", + "signals = \"\"\"vref ref gnd pulse 1.8 0 0ns 10ps 10ps 0.4ns 0.8ns\n", + "vmc mc2 gnd dc 0\n", + "va a gnd dc 0\"\"\"\n", + "sim = \"\"\".tran 0.1ns 300ns\n", + ".measure tran div TRIG v(div) VAL=0.9 RISE=1 TARG v(div) VAL=0.9 RISE=2\n", + ".measure tran VCO TRIG v(VCO) VAL=0.9 RISE=10 TARG v(VCO) VAL=0.9 RISE=11\"\"\"\n", + "control = \"print v(div) v(VCO) v(cp_0/out)> pll_data.txt\"\n", + "control = control+\"\\nquit\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "\n", + "!cd sim/ && ngspice pll_full_sim.spice > pll_out.txt\n", + "clear_output(wait=False)\n", + "\n", + "plot_from_op(read_op_file(\"sim/pll_data.txt\", [\"div\", \"Vvco\", \"Vcont\"]))\n", + "\n", + "meas = read_meas_from_spice_out('sim/pll_out.txt')\n", + "print(\"Frequency of div = \"+ str(1e-6*1/float(meas[0])) +\" MHz\")\n", + "print(\"Frequency of VCO = \"+ str(1e-6*1/float(meas[1])) +\" MHz\")" + ], + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 464 + }, + "id": "hJgosnzdotEe", + "outputId": "969f82e1-9f83-4054-a04e-4230d5481af9" + }, + "execution_count": null, + "outputs": [ + { + "output_type": "display_data", + "data": { + "text/plain": [ + "
" + ], + "image/png": "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\n" + }, + "metadata": {} + }, + { + "output_type": "stream", + "name": "stdout", + "text": [ + "Frequency of div = 16.23705054626309 MHz\n", + "Frequency of VCO = 517.9050120775448 MHz\n" + ] + } + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "Sz3_fyTXrUEv" + }, + "source": [ + "In order to find the range of the PLL over which it successfully locks, it is necessary to simulate the PLL for the different configurations of the modulus control signal.\n", + "\n", + "> Note: The following cell takes quite a lot of time to run and observe PLL locking (possibly even days....which is beyond the collab timeout limit)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "-cSfq4s7Rmv3" + }, + "outputs": [], + "source": [ + "!mv *.spice sim/\n", + "path = \"sim/pll_full.spice\"\n", + "sim = \"\"\".tran 0.1ns 300ns\n", + ".measure tran div TRIG v(div) VAL=0.9 RISE=1 TARG v(div) VAL=0.9 RISE=2\n", + ".measure tran VCO TRIG v(VCO) VAL=0.9 RISE=10 TARG v(VCO) VAL=0.9 RISE=11\"\"\"\n", + "\n", + "signals = \"\"\"vref ref gnd pulse 1.8 0 0ns 10ps 10ps 0.4ns 0.8ns\n", + "vmc mc2 gnd dc 0\n", + "va a gnd dc 0\"\"\"\n", + "control = \"print v(div) v(VCO) v(cp_0/out)> pll_data_0.txt\"\n", + "control = control+\"\\nquit\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice pll_full_sim.spice > pll_out_0.txt\n", + "clear_output(wait=False)\n", + "\n", + "signals = \"\"\"vref ref gnd pulse 1.8 0 0ns 10ps 10ps 0.4ns 0.8ns\n", + "vmc mc2 gnd dc 1.8\n", + "va a gnd dc 1.8\"\"\"\n", + "control = \"print v(div) v(VCO) v(cp_0/out)> pll_data_1.txt\"\n", + "control = control+\"\\nquit\"\n", + "mod_extracted_net(path, signals, sim, control)\n", + "!cd sim/ && ngspice pll_full_sim.spice > pll_out_1.txt\n", + "clear_output(wait=False)\n", + "\n", + "\n", + "plot_from_op(read_op_file(\"sim/pll_data_0.txt\", [\"div\", \"Vvco\", \"Vcont\"]))\n", + "meas = read_meas_from_spice_out('sim/pll_out_0.txt')\n", + "print(\"Frequency of div = \"+ str(1e-6*1/float(meas[0])) +\" MHz\")\n", + "print(\"Frequency of VCO = \"+ str(1e-6*1/float(meas[1])) +\" MHz\")\n", + "\n", + "plot_from_op(read_op_file(\"sim/pll_data_1.txt\", [\"div\", \"Vvco\", \"Vcont\"]))\n", + "meas = read_meas_from_spice_out('sim/pll_out_1.txt')\n", + "print(\"Frequency of div = \"+ str(1e-6*1/float(meas[0])) +\" MHz\")\n", + "print(\"Frequency of VCO = \"+ str(1e-6*1/float(meas[1])) +\" MHz\")" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "LFgFLcbPe_GW" + }, + "source": [ + "# References" + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "IsYoJEuETzDD" + }, + "source": [ + "1. M. I. Ibrahim, C. Foy, D. R. Englund and R. Han, \"High-Scalability CMOS Quantum Magnetometer With Spin-State Excitation and Detection of Diamond Color Centers,\" in IEEE Journal of Solid-State Circuits, vol. 56, no. 3, pp. 1001-1014, March 2021.\n", + "\n", + "1. D. Kim, M. I. Ibrahim, C. Foy, M. E. Trusheim, R. Han, and D. R. Englund, “A CMOS-Integrated Quantum Sensor Based on Nitrogen–Vacancy Centres,” Nature Electron., vol. 2, no. 7, pp. 284–289, Jul. 2019.\n", + "\n", + "1. M. I. Ibrahim, C. Foy, D. R. Englund and R. Han, \"29.2 A Scalable Quantum Magnetometer in 65nm CMOS with Vector-Field Detection Capability,\" 2019 IEEE International Solid- State Circuits Conference - (ISSCC), 2019, pp. 458-461.\n", + "\n", + "1. M. I. Ibrahim, C. Foy, D. Kim, D. R. Englund and R. Han, \"Room-Temperature Quantum Sensing in CMOS: On-Chip Detection of Electronic Spin States in Diamond Color Centers for Magnetometry,\" 2018 IEEE Symposium on VLSI Circuits, 2018, pp. 249-250.\n", + "\n", + "1. A. S. Edakkadan, K. Saha, M. S. Baghini and A. Srivastava, \"Design of 2.87 GHz Frequency Synthesizer with Programmable Sweep for Diamond Color Defect\n", + "based CMOS Quantum Sensing Applications,\" 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 2022, pp. 3092-3096.\n", + "\n", + "1. L. Kong and B. Razavi, \"A 2.4 GHz 4 mW Integer-N Inductorless RF Synthesizer,\" in IEEE Journal of Solid-State Circuits, vol. 51, no. 3, pp. 626-635, March 2016.\n", + "\n", + "1. S. Jia, S. Yan, Y. Wang and G. Zhang, \"A Low-Power High-Speed 32/33 Prescaler Based on Novel Divide-by-4/5 Unit with Improved True Single-Phase Clock Logic,\" 2015 IEEE International Symposium on Circuits and Systems (ISCAS), 2015, pp. 890-893.\n", + "\n", + "1. Jan M. Rabaey, Digital Integrated Circuits: A Design Perspective, Prentice-Hall, USA, 1996.\n", + "\n", + "1. B. Razavi, Design of Analog CMOS Integrated Circuits, McGraw-Hill, Boston, 2001.\n", + "\n", + "1. B. Razavi, RF Microelectronics, Prentice Hall, 1997.\n", + "\n", + "1. T. Lin, C. Ti and Y. Liu, \"Dynamic Current-Matching Charge Pump and Gated-Offset Linearization Technique for Delta-Sigma Fractional- $N$ PLLs,\" in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 56, no. 5, pp. 877-885, May 2009.\n", + "\n", + "1. A. Srivastava et al., \"Bio-WiTel: A Low-Power Integrated Wireless Telemetry System for Healthcare Applications in 401–406 MHz Band of MedRadio Spectrum,\" in IEEE Journal of Biomedical and Health Informatics, vol. 22, no. 2, pp. 483-494, March 2018.\n", + "\n", + "1. L. Kong and B. Razavi, \"19.5 A 2.4GHz RF Fractional-N Synthesizer with 0.25fREF BW,\" 2017 IEEE International Solid-State Circuits Conference (ISSCC), 2017, pp. 330-331.\n", + "\n", + "1. L. Kong and B. Razavi, \"A 2.4-GHz 6.4-mW Fractional-N Inductorless RF Synthesizer,\" in IEEE Journal of Solid-State Circuits, vol. 52, no. 8, pp. 2117-2127, Aug. 2017." + ] + } + ], + "metadata": { + "colab": { + "collapsed_sections": [ + "0ID0EN3ujqsY", + "VcjEtvlmpcSO", + "ETjfuCf_3Csh", + "GECMyZVK3q4O", + "-M3GVjdrpYNF", + "HoaNQHdZru6H", + "gJLkEplh6pFM", + "D04c37DI4hyw", + "ixOKjLUv44mw", + "8u2n_ld66uHi", + "iVdhr_Fk8Kb2", + "8KK-qXgh8QyE", + "QxKF042l6wi-", + "mu-urf0p30OG", + "d0HCsxMQ30OM", + "J28Aw3ed6yXJ", + "2WXJomNHM12b", + "OtNCb9oL64wg", + "X9QopAqdgns9", + "aXELOD6QgntC" + ], + "provenance": [] + }, + "kernelspec": { + "display_name": "Python 3", + "name": "python3" + }, + "language_info": { + "name": "python" + } + }, + "nbformat": 4, + "nbformat_minor": 0 +} \ No newline at end of file