\n",
+ " \n",
+ "\n",
+ "#1. Introduction\n",
+ "\n",
+ "Micropattern Gaseous Detectors (MPGDs) are highly precise devices used for particle detection in high-energy physics experiments. One notable application is in ALICE (A Large Ion Collider Experiment), one of the four big experiments at the CERN's (European Organization for Nuclear Research) Large Hadron Collider (LHC). The experiment investigates the physics of strongly interacting matter, known as quark-gluon plasma, formed in lead-ion collisions [1].\n",
+ "\n",
+ "\n",
+ "
Fig 2. Instalation of Gas Eletron Multiplier (GEM) pad, a type of MPGD(Source)
\n",
+ "
\n",
+ "
\n",
+ "\n",
+ "![](https://drive.google.com/uc?export=view&id=17X0G9kFhVLHFeOF_xY1Ye2sMh5BYoPJ5)\n",
+ "Fig 3. ALICE experiment ([Source](https://cds.cern.ch/record/2263642))\n",
+ "\n",
+ "\n",
+ "\n",
+ "In this context, the SAMPA chip was developed, a 32-channel ASIC, designed in order to upgrade the readout front-end electronics of the ALICE Time Projection Chamber (TPC) and Muon Chamber (MCH) after the LHC second long shutdown. The chip is responsible for signal amplification, digital conversion, processing, compression and filtering of the signals from the detectors [2].\n",
+ "\n",
+ "Furthermore, the [SALSA](https://indico.cern.ch/event/1327482/contributions/5692916/) chip project - being developed in a collaboration of the University of São Paulo (USP) and the Institute of Research into the Fundamental Laws of the Universe (IRFU) - aims to be a new versatile readout chip for MPGDs of the future experiments of the Electron-Ion Collider (EIC) project, which will be installed at the Brookhaven National Laboratory in the USA in early 2030 [3].\n",
+ "\n",
+ "This new chip is an ASIC designed to read, amplify and\n",
+ "convert analog signals captured by the detectors, utilizing an\n",
+ "ADC [4]. It also accommodates a wider range of signal amplitudes and electrode capacitance, while proposing a larger range of peaking time and optimized data processing in comparison with SAMPA. Its architecture incorporates digital signal filters to mitigate noise interference, ensuring high accuracy in particle collision data. While the current filtering process employs FIR, IIR and slope based filters, a new method based on median filtering which incorporates the implementation of a common-mode noise (CMN) subtraction method is being tested.\n",
+ "\n",
+ "The common mode noise (CMN) subtraction is a non-linear digital filter that aims to reduce the coherent noise affecting all channels. This includes the evaluation of the coherent noise and subtraction from each channel’s sample [5]. This evaluation involves calculating the median of the signals from the 64 channels in real time to be used for reducing noise interference and getting better precision in the data acquisition. For the calculation of the channels' median, three different approaches are considered, each employing distinct algorithms to achieve efficient and accurate results:\n",
+ "\n",
+ "#2. Algorithms\n",
+ "\n",
+ "##2.1) Bitonic sorting\n",
+ "Bitonic sort is a highly parallel sorting algorithm based on the concept of a bitonic sequence. In other words, a sequence of numbers that first monotonically increases and then monotonically decreases, or vice versa. This method was chosen as the basis for comparison with the other two newly made algorithms.\n",
+ "\n",
+ "The sorting process consists of two main steps. First, the unsorted list is recursively divided into smaller sub-sequences, halving the list each time until the smallest units, consisting of 2 elements, are formed. Each small sub-sequence is transformed into a bitonic sequence, where one portion is sorted in ascending order and the other in descending order. In each subsequent stage, the number of elements in the sequences doubles until the full list of 64 values is reached. Then, by comparing and swapping pairs of elements, these bitonic sequences are merged and sorted, moving the largest elements to the lower half and the lowest to the upper half, ensuring the final sequence is in the correct order [6].\n",
+ "\n",
+ "In a hardware implementation, two types of comparators are used: the ascending order comparator(AO Comparator) and the descending order comparator (DO Comparator). Each comparator takes two input values and two sorted outputs values according to its respective order. These comparators enable the transformation into smaller bitonic sequences. By recursively doubling the size of the sequence at each stage, the algorithm sorts the full set of 64 data values in ascending order. Once sorted, the median is found as the 33rd element (As the SALSA chip has 64 channels, the median value is considered to be the 33rd greatest value for simplification).\n",
+ "\n",
+ "\n",
+ "\n",
+ "![](https://drive.google.com/uc?export=view&id=1tHkjwhY_MyDJrTq3SuGkZHiGqusY-Cpv)\n",
+ "\n",
+ "Fig. 4 Diagram for the Bitonic Sort Algorithm\n",
+ "\n",
+ "##2.2) Bit-wise Median Finder (BWMF) algorithm\n",
+ "The bit-wise median finder is a new algorithm developed by the SALSA team that utilizes a pipeline implementation to efficiently compute the median by processing the 12-bit input data across multiple stages. Running from the MSB to the LSB of each 12-bit input data register, one bit at each stage iteration, the algorithm uses the calculation of partial median values, estimated by the majority quantity of numbers 1’s and 0’s in a determined bit position. By comparing the partial median value with the actual data bit of each channel, it is possible to refine the candidate values for the final median.\n",
+ "\n",
+ "Once this partial median is established for the current iteration, the algorithm compares it with the actual bit in the 12-bit register. If it does not match with the majority bit (partial median), that data bit register is marked as a non candidate for the final median in subsequent stages and it is all replaced with 1’s or 0’s depending on the registered median value for that stage. Conversely, if the bit matches the partial median, that value remains a potential candidate for the final result and stays in the collection of values for further recursive comparisons.\n",
+ "\n",
+ "This process repeats iteratively across all bit positions, progressively refining the set of candidate values and storing the partial medians in a 12-bit register. By the end of the pipeline, the full median is registered for an output.\n",
+ "\n",
+ "\n",
+ "![](https://drive.google.com/uc?export=view&id=1F_p7qS5uWsfMeH1_2mUnaTvJmKvG1L9U)\n",
+ "\n",
+ "\n",
+ "Fig. 5 Diagram of a generic stage of the MWMF Algorithm\n",
+ "\n",
+ "##2.3) Combinatorial Sum Median Finder (CSMF) algorithm\n",
+ "The combinatorial sum median finder is a hardware implemented algorithm developed by the authors that aims to find the median value from a collection of data in a highly parallelized manner.\n",
+ "\n",
+ "The method utilized here to find the median value is based on the fact the median value (here assumed as the 33rd greatest value of the data collection) is bigger than 32 other values. Therefore, by comparing every two channels in a\n",
+ "combinatorial manner resulting in 2016 comparisons (C64,2 ), we can calculate for each channel value how many channels have smaller samples. With that, there should be a channel that has 0 samples smaller than it all the way to a channel that has 63 samples smaller than it. So the channel whose number of smaller samples is 32 should be the 33rd greatest number, in other words, the wanted median.\n",
+ "\n",
+ "With the hardware implementation in mind, each channel has two individual components: a Hamming weight component (counts the number of ones in its input) and a comparator that compares the output of the 1’s counter with 32. Furthermore there are 2016 comparators, one for every combination of two channels (channel X and Y). This comparator has two outputs one for X ≥ Y and other for X < Y . The first output goes to the 1’s counter of the channel X and the other goes to the 1’s counter of the Y channel. With that circuitry, the\n",
+ "1’s counter of each channel counts the number of channels with smaller samples, that number goes to comparator of that channel, and if it is equal to 32, this channel is the one that contains the median value and therefore should be the\n",
+ "output. As the three aforementioned componentes are implemented in combinational logic, this design manages to find the median value in one clock cycle.\n",
+ "\n",
+ "\n",
+ "![](https://drive.google.com/uc?export=view&id=1B_DHov0GJN-EZHnjczaAafIlBUYkXCJE)\n",
+ "\n",
+ "Fig. 6 Diagram for the Combinatorial Sum Median Finder\n",
+ "\n",
+ "##2.4) Tests and results\n",
+ "To evaluate the efficiency, accuracy and practicality of the three proposed algorithms (Bitonic Sort, MWMF and CSMF), a series of tests for power, area and number of flip-flops required for their hardware implementation were conducted by the team. The tests were done in a different technology and flow process, so they are presented here with normalized data and as way to clarify the comparison to chose the implemented algorithm.\n",
+ "\n",
+ "\n",
+ "
\n",
+ " \n",
+ "
\n",
+ "
Filter
\n",
+ "
Bitonic
\n",
+ "
BWMF
\n",
+ "
CSMF
\n",
+ "
\n",
+ " \n",
+ " \n",
+ "
\n",
+ "
Number of cycles
\n",
+ "
4
\n",
+ "
8
\n",
+ "
1
\n",
+ "
\n",
+ "
\n",
+ "
Algorithm area comparison [mm2]
\n",
+ "
63.12%
\n",
+ "
39%
\n",
+ "
100%
\n",
+ "
\n",
+ "
\n",
+ "
Power comparison
\n",
+ "
123.91%
\n",
+ "
64.32%
\n",
+ "
100%
\n",
+ "
\n",
+ "
\n",
+ "
Number of FFs comparison
\n",
+ "
32083.3%
\n",
+ "
42250%
\n",
+ "
100%
\n",
+ "
\n",
+ " \n",
+ "
\n",
+ "\n",
+ "\n",
+ "Table 1. Comparison between filters in 50MHz\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "The criterias of the comparison are: the smaller the area, the better, as the cost of implementation in an ASIC is proportional to the area and SALSA will be a big design. Concerning the power, it is desired to have an economic design. In addition, due to the operation in a highly radioactive environment the number of flip-flops is important, because the higher the number of that digital component, the greater the chances of a bit-flip occurring, in other words, it becomes less error tolerant. Also, if the number of FFs is smaller, it is easier to implement a triple modular redundancy (TMR) as it was used in SAMPA [7], this technique triplicates the number of storage components as a countermeasure to the bit-flip events. And lastly, it is good to have a small number of cycles so that the pipeline, designed to wait for the median to be found, takes less area and power.\n",
+ "\n",
+ "In the first approach, the sorting method using the bitonic algorithm was the most power hungry of them, while also being the intermediate option in terms of area, number of cycles and number of flip-flops. Its high power consumption\n",
+ "can discourage utilization in power constrained applications and also thermally sensitive environments as it generates more heat due to continuous operation.\n",
+ "\n",
+ "The BWMF algorithm proved to be a good option if the throughput is not the primary concern, since it has a small area and power consumption. However the big number of FFs requires a larger pipeline, which drains more power, occupy\n",
+ "more area and increases the filter’s latency. Additionally, this high flip-flop count would make this approach more susceptible to radiation events and also harder to implement with TMR, as triplicating all flip-flops would significantly\n",
+ "increase the area. Therefore, this approach is suitable to lowpower applications, but less suitable for fast response times due to its high latency and may not be optimal for highly radioactive environments.\n",
+ "\n",
+ "Lastly, the CSMF algorithm is the best option when considering throughput, as it has the smallest number of cycles and its competitors cannot reach the same performance. Its area is considerably larger than the others, but the small number of flip-flops can compensate if TMR is implemented (the triplication of a small number of FFs generate a small increase in area) and also the smaller number of cycles helps reduce the overall area as the pipeline required for its\n",
+ "implementation is smaller. Thus this design is well suited to highly radioactive environments considering its low flip-flop count, which simplifies the TMR implementation whilst maintaining acceptable dimensions and power consumption.\n",
+ "\n",
+ "In conclusion, the CSMF algorithm turned to be a promising approach for implementing a median filter in the context of high-energy physics experiments. Its balance between accuracy, computational efficiency, and moderate resource usage makes it particularly well-suited to the demanding real-time data processing requirements of this field.\n",
+ "\n",
+ "To validate this algorithm, the authors proposed a smaller chip with only 8 channels, each with 8 bits to test the proposed CSMF algorith. This chip endend up being called MARTIn (Median Algorithm Reduced Test Interface).\n",
+ "\n",
+ "#3. MARTIn chip\n",
+ "\n",
+ "The MARTIn is a simplified chip which contains the implementation of the CSMF algorithm, while also encompassing a LFSR (Linear Feedback Shift-Register), that works as a pseudo random number generator, for testing maximum clock speeds, and a shift register for radiation tolerance testing.\n",
+ "\n",
+ "The MARTIn chip was implemented using the IHP 130nm [BiCMOS Open Source PDK](https://github.com/IHP-GmbH/IHP-Open-PDK) due to the possibility of costless fabrication according to [IHP Open Design Lib](https://ihp-open-ip.readthedocs.io/en/latest/). The chip was submitted in the November 2024 Round and accepted [(To November 2024)](https://github.com/IHP-GmbH/TO_Nov2024). So the following design proposed in this presentation is ready for production with 0 DRC errors.\n",
+ "\n",
+ "Now concerning the algorithms implementation, it was implemented in a simple filter format shown below, it has 8 input register (emulating the 8 channels) connected to the median algorithm and a MUX afterwards to select the operation mode. With that implementation format the chip can operate in three modes:\n",
+ " - __Transparency mode:__ input data goes directly to the output\n",
+ " - __Median Output:__ output is the median value\n",
+ " - __Filter Mode:__ output = input - median value\n",
+ "\n",
+ "![](https://drive.google.com/uc?export=view&id=1lGQ7UFdQAthVlYsqeAD7IOK7W_5KGO2u)\n",
+ "Fig. 7 MARTIn block diagram\n",
+ "\n",
+ "This design is composed of 8 pins in each side of the chip with a total of 64 pins. They are the following:\n",
+ "- VDD, VSS, IOVDD, IOVSS : power pins\n",
+ "- clk, rst : general control signals\n",
+ "- data_in : data input for internal registers or median filter\n",
+ "- data_out : data output from median filter\n",
+ "- wr_enable : enable write in internal register\n",
+ "- reg_addr : selects the register to be written\n",
+ "- out_select : selects from median filter output, transparency mode and filter mode\n",
+ " - 2'b00: data_out = median_value\n",
+ " - 2'b01: Filter mode (data_out = data_in - median value)\n",
+ " - 2'b10: Transparency mode (data_out = data_in)\n",
+ " - 2'b00: data_out = median_value\n",
+ "- shreg_in : shift register input\n",
+ "- shreg_out : shift register output\n",
+ "- lfsr_out : Linear-feedback shift register output (Pseudo random number generator)\n",
+ "- aux_enable : enables the LFSR and shift register\n",
+ "\n",
+ "![](https://drive.google.com/uc?export=view&id=1AocEzrOp0OUwoL4mmol5EIo2OcPV8-C_)\n",
+ "Fig. 8 MARTIn pinout\n",
+ "\n",
+ "The development team aims to test this chip after production with basic tests to ensure the correct functionaly of the algorithm, some tests with real experiment data and also some radiation tests to prove this design is beffiting to be implemented in the SALSA chip."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "EzSEAZqI9vr_"
+ },
+ "source": [
+ "#4. RTL to GDS flow\n",
+ "\n",
+ "The RTL to GDS flow utilized here is based in these steps:\n",
+ "1. Convert System Verilog to Verilog (sv2v)\n",
+ "2. Synthesis (Openroad/Openroad/OpenROAD-flow-scripts)\n",
+ "3. Floorplan (Openroad/OpenROAD-flow-scripts)\n",
+ "4. Placement (Openroad/OpenROAD-flow-scripts)\n",
+ "5. CTS (Openroad/OpenROAD-flow-scripts)\n",
+ "6. Routing (Openroad/OpenROAD-flow-scripts)\n",
+ "7. Sealring generation (Klayout)\n",
+ "8. GDS Merging (Klayout/OpenROAD-flow-scripts)\n",
+ "9. Metal filling (Klayout)\n",
+ "\n",
+ "All of the steps are done utilizing open source software and the process described below shows how each one of them should be used to generate the MARTIn chip from the source code.\n",
+ "\n",
+ "The flow utilizes the [IHP 130nm BiCMOS Open Source PDK](https://github.com/IHP-GmbH/IHP-Open-PDK) and is based on the flow utilized the [i2c-gpio-expander](https://github.com/aesc-silicon/i2c-gpio-expander/tree/main) project on github.\n",
+ "\n",
+ "##4.1) Environment setup\n",
+ "\n",
+ "The environment can be prepared used the following scripts that install the dependencies and tools required by the flow. This environment is the same utilized in the i2c-gpio-expander with some alterations to utilize a more recent version of the IHP PDK."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "26QLFSBcGEgi",
+ "outputId": "23a67cb0-7f95-461c-b57a-300c2640b2d2"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "\u001b[1;30;43mA saída de streaming foi truncada nas últimas 5000 linhas.\u001b[0m\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/user-bookmarks-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-saved-search-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/user-trash-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/user-home-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-documents-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-publicshare.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-templates-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-publicshare-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-templates.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/network-workgroup-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-pictures.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-drag-accept.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/network-server-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/start-here-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/user-trash.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-pictures-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/network-workgroup.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-saved-search.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-videos.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-remote.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-download-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/places/folder-music-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-body-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-nature-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/system-help-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/applications-graphics-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-objects-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/applications-engineering-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-travel-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/preferences-system-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-symbols-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/applications-utilities-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/applications-multimedia-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-recent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/applications-science-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-food-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/applications-system-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/preferences-other-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/applications-games-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-activities-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-flags-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/categories/emoji-people-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-connected-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-edge-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-signal-ok-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-trash-full-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/printer-warning-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/audio-volume-high-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/mail-attachment-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-signal-ok-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/view-wrapped-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/notifications-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/printer-printing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-idle-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-80-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-60-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-4g-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/location-services-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/dialog-error-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/thunderbolt-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/microphone-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/orientation-portrait-right-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-available-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/display-brightness-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wired-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-storm-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/night-light-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/computer-fail-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-hotspot-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/dialog-password-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/audio-volume-muted-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-0-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/appointment-soon-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-gprs-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-30-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-hspa-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-signal-weak-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/task-past-due-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/folder-visiting-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wired-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/channel-insecure-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-100-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/alarm-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/touchpad-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/image-loading-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-20-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/daytime-sunset-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/orientation-landscape-inverse-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/screen-shared-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-0-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/image-loading.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-vpn-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-3g-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/auth-sim-locked-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-50-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/printer-error-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/media-playlist-repeat-song-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/touch-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/non-starred-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/bluetooth-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/auth-sim-missing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/avatar-default-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/airplane-mode-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-error-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/power-profile-power-saver-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/task-due-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-transmit-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/mail-read-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-connected-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/semi-starred-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/microphone-sensitivity-muted-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-60-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-clear-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/system-lock-screen-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/audio-volume-low-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/security-medium-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-busy-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/software-update-urgent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-2g-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-40-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-vpn-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-signal-none-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-severe-alert-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-80-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-signal-weak-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/media-playlist-shuffle-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/camera-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-40-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/changes-prevent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-fog-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-encrypted-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-status-pending-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/audio-volume-overamplified-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-transmit-receive-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/security-high-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-70-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/call-incoming-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/microphone-sensitivity-medium-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-10-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-windy-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/rotation-locked-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/microphone-sensitivity-low-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/location-services-active-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/audio-volume-medium-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/call-outgoing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-receive-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-signal-none-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-away-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-signal-good-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/dialog-question-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/folder-open-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/mail-unread-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/mail-replied-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-90-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/camera-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/changes-allow-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/power-profile-balanced-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/bluetooth-active-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-showers-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/keyboard-brightness-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/bluetooth-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/starred-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/software-update-available-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-not-tracked-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-signal-good-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/channel-secure-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-signal-excellent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wired-disconnected-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/folder-drag-accept-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/avatar-default.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-snow-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-vpn-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-invisible-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-30-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/bluetooth-disconnected-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/rotation-allowed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-90-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-idle-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/view-wrapped-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/appointment-missed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/power-profile-performance-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-100-charged-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-signal-excellent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/image-missing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/microphone-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-10-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/dialog-information-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-overcast-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-clear-night-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/media-playlist-repeat-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/dialog-warning-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-20-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wired-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-wireless-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/microphone-sensitivity-high-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/orientation-landscape-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/semi-starred-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/night-light-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/media-playlist-consecutive-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/content-loading-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/daytime-sunrise-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-missing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/user-trash-full.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-5g-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/network-cellular-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-few-clouds-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/security-low-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/orientation-portrait-left-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-few-clouds-night-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-50-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-tornado-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/call-missed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/weather-showers-scattered-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/status/battery-level-70-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-accessories.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-photos.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/security-low.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/camera-video.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-error.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-send-receive.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-sick.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-crying.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-playlist-shuffle.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-send.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/printer-error.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-peripherals.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/multimedia-player.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-text-bold.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/object-flip-horizontal.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-full.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-empty-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-laugh.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/security-medium.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/dialog-question.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/zoom-original.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/pda.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-seek-forward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/microphone-sensitivity-medium.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-last-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/multimedia-volume-control.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/trophy-silver.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/camera-web.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-forward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-wireless.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-indent-less.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-package.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-text-direction-ltr.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-graphics.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/accessories-text-editor.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/address-book-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-unread.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-skip-forward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-read.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/semi-starred-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/semi-starred.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-send.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-sad.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-mark-junk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-up.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/list-remove.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-text-direction-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/dialog-password.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/input-touchpad.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-save.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/zoom-in.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/microphone-sensitivity-high.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-remote-desktop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/changes-prevent.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/task-past-due.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/user-available.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-text-italic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-mark-notjunk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-bottom.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/microphone-sensitivity-low.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/input-gaming.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-wallpaper.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/folder-visiting.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/microphone-sensitivity-muted.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-log-out.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/ebook-reader.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-system-network.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/drive-optical.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-mark-unread.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/input-dialpad.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-system.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-find-replace.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/dialog-information.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/software-update-available.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-development.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-system-privacy.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-transmit-receive.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-clear-all.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/audio-speakers.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-worried.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-justify-center.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-plain.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-cellular-connected.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/user-busy.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-utilities.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-good.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/trophy-bronze.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/object-rotate-right.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-indent-less-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-eject.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/scanner.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-reply-sender.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-system-search.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-engineering.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/insert-text.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-floppy.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-devilish.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-empty.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-good-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/window-close.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-kiss.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-select-all.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-indent-more-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-office.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/security-high.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-low.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/view-refresh.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/trophy-gold.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-page-setup.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-full-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/application-exit.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/uninterruptible-power-supply.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-default.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-receive.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/user-info.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-redo-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-missing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-top.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-system-windows.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-software-update.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-users.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-full-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-downloads.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-full-charged.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-smile-big.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-personal.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/call-stop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-playback-pause.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/appointment-missed.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-wired-disconnected.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-urgent.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-first.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-documents.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-tape.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-low-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-empty-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/accessories-dictionary.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-caution-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-clear-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-redo.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-low-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/printer-printing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-keyboard.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/video-display.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-search.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-mail.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-playback-stop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-delete.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-caution-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/bookmark-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/insert-link.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/list-add.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-message-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/appointment-soon.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-font.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-cut.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/accessories-calculator.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/ac-adapter.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-file-manager.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-mark-important.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-vpn.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-seek-backward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/audio-volume-muted.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/user-away.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/audio-volume-medium.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/zoom-out.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/process-stop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/view-fullscreen.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/audio-card.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-down.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-revert-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-tired.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-attachment.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-reply-all.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-justify-fill.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-open.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-important.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-uncertain.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-undo.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-system-sharing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-other.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/call-start.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-theme.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applets-screenshooter.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-good-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-text-underline.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-glasses.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-record.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-revert.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-internet.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-system-notifications.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-flash.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-previous.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-games.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-previous-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/window-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-idle.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-copy.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/camera-photo.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-wink.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/insert-image.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/object-flip-vertical.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/software-update-urgent.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-system.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-print.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-locale.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-paste.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-caution.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-angry.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-lock-screen.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/view-sort-ascending.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-system.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/help-contents.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/computer-fail.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/computer.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/input-tablet.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-other.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/changes-allow.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-run.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-low-charging.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-next-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emote-love.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-last.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-accessibility.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-help.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-good-charging.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/user-offline.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/view-sort-descending.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/user-invisible.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-open-recent.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-software-install.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-justify-right.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-offline.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-cool.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-favorite.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-jump.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/starred.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/input-mouse.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-keyboard-shortcuts.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-home.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/object-rotate-left.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-justify-left.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-angel.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/applications-science.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/non-starred.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/zoom-fit-best.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-mark-read.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-full-charged-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-embarrassed.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/contact-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/modem.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-monkey.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-smile.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/insert-object.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/help-browser.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/audio-volume-low.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-wired.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-surprise.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/user-idle.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mark-location.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-screensaver.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/image-missing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/network-transmit.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-playback-start.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/view-restore.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-raspberry.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-properties.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-playlist-repeat.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/input-keyboard.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/media-skip-backward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-next.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/help-faq.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/audio-volume-high.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/web-browser.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-full-charging.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/accessories-character-map.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/phone.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-text-strikethrough.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/preferences-desktop-display.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/tools-check-spelling.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/mail-replied.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/dialog-error.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-desktop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/face-smirk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/emblem-web.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/folder-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/format-indent-more.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-find.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-undo-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/task-due.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/go-first-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/help-about.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/utilities-terminal.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-save-as.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/appointment-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/dialog-warning.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/system-shutdown.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/battery-caution-charging.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/drive-multidisk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/edit-clear.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/legacy/document-print-preview.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-readonly.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-synchronizing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-system-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-documents-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-music-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-videos-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-ok-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-shared-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-important-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-synchronizing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-default-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-unreadable.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-symbolic-link.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-photos-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-shared.png\n",
+ "oss-cad-suite/share/icons/Adwaita/48x48/emblems/emblem-favorite-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/package-x-generic-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-rss+xml-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/inode-directory.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/text-x-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/text-x-script.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-spreadsheet.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-presentation-template.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-appliance-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-presentation.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-firmware-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/text-x-generic-template.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/image-x-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-spreadsheet-template.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-presentation-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-addon-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-executable-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/audio-x-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/text-x-preview.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-drawing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-certificate-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/image-x-generic-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-calendar.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-drawing-template.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/font-x-generic-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-drawing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-document-template.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-executable.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/package-x-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-calendar-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-document.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-address-book-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-addon.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-certificate.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-address-book.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/font-x-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/inode-directory-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/video-x-generic-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-document-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/text-x-generic-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-sharedlib.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-package-repository.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/text-html.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/video-x-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/x-office-spreadsheet-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/audio-x-generic-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/mimetypes/application-x-firmware.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/video-joined-displays-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-harddisk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-harddisk-system-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/bluetooth-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/audio-card-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-optical-cd-audio-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-optical-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/colorimeter-colorhug-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-harddisk-solidstate-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/input-gaming-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/uninterruptible-power-supply-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/pda-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/printer-network-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/input-dialpad-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/input-gaming.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/camera-photo-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/multimedia-player-apple-ipod-touch-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/auth-fingerprint-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/network-wireless-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/auth-smartcard-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/audio-input-microphone-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-optical-bd-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-optical-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/printer.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/video-single-display-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/phone-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/input-tablet-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/battery-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-floppy-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/camera-web-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-zip-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/audio-speakers-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/computer-apple-ipad-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/audio-headphones.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/camera-video-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-flash-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-removable-media.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/audio-headset-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/multimedia-player-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/phone-old-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/audio-headset.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/scanner-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/printer-network.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-optical-dvd-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-removable-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/input-mouse-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/modem-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/phone-apple-iphone-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/audio-headphones-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/computer.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-multidisk-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-harddisk-ieee1394-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/thunderbolt-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/computer-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/network-wired-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-removable.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/ac-adapter-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-harddisk-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/video-display-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-removable-media-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/network-cellular-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-tape-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/input-keyboard-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/input-touchpad-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/media-optical.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/tv-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/display-projector-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/drive-harddisk-usb-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/auth-sim-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/devices/printer-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/insert-image-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-conceal-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-forward-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-cut-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-paste-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-sort-descending-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-list-ordered-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-playback-stop-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/insert-object-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-last-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-mark-important-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/send-to-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-first-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/object-select-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-down-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/sidebar-show-rtl-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-jump-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/system-reboot-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/camera-switch-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-find-replace-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-mark-junk-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/object-rotate-left-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/action-unavailable-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-justify-fill-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-justify-center-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/insert-link-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/appointment-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-justify-right-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-send-receive-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-skip-forward-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-seek-forward-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-restore-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/list-remove-all-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-last-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/zoom-out-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-revert-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-redo-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-grid-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-save-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-text-underline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-edit-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/sidebar-show-right-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-revert-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/zoom-fit-best-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-mirror-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-next-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-text-direction-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-previous-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/zoom-in-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-undo-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/font-select-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-more-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-pin-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/error-correct-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/call-stop-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-text-strikethrough-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-view-subtitles-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/tab-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-text-direction-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/chat-message-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-clear-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-undo-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-playback-pause-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/call-start-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-delete-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-jump-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/application-exit-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/insert-text-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/zoom-original-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-text-bold-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-reply-all-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-eject-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-open-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-list-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-continuous-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-justify-left-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-select-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/star-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-send-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-reply-sender-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/list-add-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/contact-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-next-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-copy-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-paged-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-clear-all-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/folder-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/help-about-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-sort-ascending-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/color-select-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/open-menu-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-record-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/object-flip-vertical-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/process-stop-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/tools-check-spelling-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-indent-more-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/object-rotate-right-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-home-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-fullscreen-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-playback-start-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-message-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/value-decrease-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/system-log-out-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-app-grid-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/system-switch-user-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/list-remove-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-first-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/system-search-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-save-as-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/system-shutdown-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-bottom-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-top-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/find-location-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-print-preview-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-find-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-indent-less-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-indent-more-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-text-italic-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/sidebar-show-right-rtl-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-list-bullet-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-page-setup-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-reveal-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-refresh-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/format-indent-less-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-seek-backward-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-previous-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-dual-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-clear-rtl-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-open-recent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/sidebar-show-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/address-book-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-print-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/system-run-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-mark-notjunk-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mail-send-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/mark-location-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/bookmark-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-clear-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/document-properties-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/object-flip-horizontal-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/value-increase-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/media-skip-backward-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/view-more-horizontal-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/go-up-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-select-all-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/actions/edit-redo-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-laugh-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-smile-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-uncertain-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-angel-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-worried-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-smirk-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/emote-love-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-plain-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-devilish-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-crying-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-surprise-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-shutmouth-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-smile-big-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-confused-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-raspberry-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-sad-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-angry-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-kiss-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-embarrassed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-sick-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-wink-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-glasses-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-yawn-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-tired-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-cool-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emotes/face-monkey-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/selection-end-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/pan-end-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/selection-end-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/radio-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/pan-start-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/window-maximize-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/focus-windows-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/pan-down-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/window-restore-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/checkbox-checked-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/selection-start-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/window-new-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/focus-top-bar-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/selection-start-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/focus-legacy-systray-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/window-minimize-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/radio-mixed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/pan-end-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/window-close-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/pan-start-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/checkbox-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/pan-up-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/checkbox-mixed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/list-drag-handle-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/ui/radio-checked-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/help-faq-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-keyboard-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-parental-controls-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-locale-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/system-users-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/system-software-install-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/user-info-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/web-browser-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-font-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-wallpaper-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-screensaver-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/utilities-terminal-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/accessories-character-map-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/text-editor-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-accessibility-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-display-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-privacy-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-color-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-search-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/gnome-power-manager-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-sharing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-network-proxy-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/accessories-dictionary-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/accessories-calculator-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-devices-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/multimedia-volume-control-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/accessories-text-editor-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-network-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-apps-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-remote-desktop-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-notifications-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/goa-panel-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/applets-screenshooter-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/help-browser-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/help-contents-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-time-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-desktop-keyboard-shortcuts-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/system-file-manager-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/apps/preferences-system-details-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-documents.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/user-desktop-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-videos-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-remote-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-download.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/user-bookmarks.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/network-server.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-music.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/start-here.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/user-home.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/user-desktop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-open.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/user-bookmarks-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-saved-search-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/user-trash-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/user-home-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-documents-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-publicshare.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-templates-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-publicshare-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-templates.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/network-workgroup-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-pictures.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-drag-accept.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/network-server-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/start-here-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/user-trash.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-pictures-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/network-workgroup.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-saved-search.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-videos.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-remote.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-download-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/places/folder-music-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-body-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-nature-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/system-help-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/applications-graphics-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-objects-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/applications-engineering-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-travel-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/preferences-system-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-symbols-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/applications-utilities-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/applications-multimedia-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-recent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/applications-science-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-food-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/applications-system-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/preferences-other-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/applications-games-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-activities-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-flags-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/categories/emoji-people-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-connected-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-edge-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-signal-ok-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-trash-full-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/printer-warning-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/audio-volume-high-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/mail-attachment-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-signal-ok-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/view-wrapped-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/notifications-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/printer-printing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-idle-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-80-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-60-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-4g-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/location-services-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/dialog-error-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/thunderbolt-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/microphone-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/orientation-portrait-right-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-available-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/display-brightness-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wired-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-storm-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/night-light-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/computer-fail-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-hotspot-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/dialog-password-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/audio-volume-muted-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-0-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/appointment-soon-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-gprs-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-30-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-hspa-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-signal-weak-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/task-past-due-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/folder-visiting-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wired-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/channel-insecure-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-100-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/alarm-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/touchpad-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/image-loading-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-20-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/daytime-sunset-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/orientation-landscape-inverse-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/screen-shared-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-0-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/image-loading.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-vpn-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-3g-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/auth-sim-locked-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-50-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/printer-error-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/media-playlist-repeat-song-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/touch-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/non-starred-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/bluetooth-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/auth-sim-missing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/avatar-default-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/airplane-mode-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-error-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/power-profile-power-saver-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/task-due-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-transmit-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/mail-read-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-connected-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/semi-starred-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/microphone-sensitivity-muted-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-60-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-clear-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/system-lock-screen-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/audio-volume-low-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/security-medium-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-busy-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/software-update-urgent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-2g-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-40-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-vpn-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-signal-none-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-severe-alert-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-80-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-signal-weak-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/media-playlist-shuffle-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/camera-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-40-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/changes-prevent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-fog-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-encrypted-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-status-pending-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/audio-volume-overamplified-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-transmit-receive-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/security-high-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-70-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/call-incoming-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/microphone-sensitivity-medium-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-10-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-windy-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/rotation-locked-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/microphone-sensitivity-low-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/location-services-active-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/audio-volume-medium-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/call-outgoing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-receive-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-signal-none-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-no-route-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-away-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-signal-good-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/dialog-question-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/folder-open-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/mail-unread-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/mail-replied-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-90-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/camera-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/changes-allow-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/power-profile-balanced-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/bluetooth-active-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-showers-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/keyboard-brightness-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/bluetooth-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/starred-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-hardware-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/software-update-available-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-not-tracked-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-signal-good-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/channel-secure-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-signal-excellent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wired-disconnected-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/folder-drag-accept-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/avatar-default.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-snow-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-vpn-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-invisible-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-30-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/bluetooth-disconnected-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/rotation-allowed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-90-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-idle-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/view-wrapped-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/appointment-missed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/power-profile-performance-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-100-charged-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-signal-excellent-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/image-missing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/microphone-disabled-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-10-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/dialog-information-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-overcast-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-clear-night-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/media-playlist-repeat-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/dialog-warning-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-20-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wired-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-acquiring-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-wireless-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/microphone-sensitivity-high-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/orientation-landscape-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/semi-starred-symbolic-rtl.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/night-light-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/media-playlist-consecutive-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/content-loading-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/daytime-sunrise-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-missing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/user-trash-full.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-5g-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/network-cellular-offline-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-few-clouds-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/security-low-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/orientation-portrait-left-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-few-clouds-night-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-50-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-tornado-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/call-missed-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/weather-showers-scattered-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/status/battery-level-70-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-accessories.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-photos.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/security-low.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/camera-video.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-error.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-send-receive.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-sick.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-crying.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-playlist-shuffle.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-send.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/printer-error.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-peripherals.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/multimedia-player.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-text-bold.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/object-flip-horizontal.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-full.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-empty-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-laugh.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/security-medium.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/dialog-question.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/zoom-original.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/pda.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-seek-forward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/microphone-sensitivity-medium.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-last-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/multimedia-volume-control.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/trophy-silver.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/camera-web.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-forward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-wireless.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-indent-less.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-package.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-text-direction-ltr.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-graphics.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/accessories-text-editor.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/address-book-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-unread.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-skip-forward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-read.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/semi-starred-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/semi-starred.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-send.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-sad.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-mark-junk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-up.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/list-remove.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-text-direction-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/dialog-password.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/input-touchpad.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-save.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/zoom-in.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/microphone-sensitivity-high.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-remote-desktop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/changes-prevent.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/task-past-due.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/user-available.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-text-italic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-mark-notjunk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-bottom.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/microphone-sensitivity-low.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/input-gaming.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-wallpaper.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/folder-visiting.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/microphone-sensitivity-muted.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-log-out.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/ebook-reader.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-system-network.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/drive-optical.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-mark-unread.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/input-dialpad.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-system.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-find-replace.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/dialog-information.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/software-update-available.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-development.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-system-privacy.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-transmit-receive.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-clear-all.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/audio-speakers.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-worried.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-justify-center.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-plain.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-cellular-connected.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/user-busy.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-utilities.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-good.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/trophy-bronze.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-generic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/object-rotate-right.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-indent-less-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-eject.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/scanner.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-reply-sender.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-system-search.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-engineering.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/insert-text.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-floppy.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-devilish.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-empty.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-good-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/window-close.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-kiss.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-select-all.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-indent-more-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-office.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/security-high.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-low.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/view-refresh.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/trophy-gold.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-page-setup.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-full-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/application-exit.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/uninterruptible-power-supply.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-default.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-receive.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/user-info.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-redo-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-missing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-top.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-system-windows.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-software-update.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-users.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-full-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-downloads.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-full-charged.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-smile-big.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-personal.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/call-stop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-playback-pause.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/appointment-missed.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-wired-disconnected.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-urgent.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-first.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-documents.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-tape.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-low-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-empty-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/accessories-dictionary.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-caution-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-clear-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-redo.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-low-charging-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/printer-printing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-keyboard.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/video-display.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-search.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-mail.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-playback-stop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-delete.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-caution-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/bookmark-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/insert-link.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/list-add.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-message-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/appointment-soon.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-font.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-cut.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/accessories-calculator.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/ac-adapter.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-file-manager.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-mark-important.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-vpn.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-seek-backward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/audio-volume-muted.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/user-away.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/audio-volume-medium.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/zoom-out.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/process-stop.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/view-fullscreen.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/audio-card.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-down.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-revert-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-tired.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-attachment.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-reply-all.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-justify-fill.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-open.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-important.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-uncertain.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-undo.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-system-sharing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-other.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/call-start.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-theme.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applets-screenshooter.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-good-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-text-underline.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-glasses.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-record.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-revert.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-internet.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-system-notifications.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-flash.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-previous.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-games.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-previous-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/window-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-idle.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-copy.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/camera-photo.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-wink.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/insert-image.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/object-flip-vertical.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/software-update-urgent.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-system.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-print.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-locale.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-paste.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-caution.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-angry.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-lock-screen.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/view-sort-ascending.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-system.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/help-contents.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/computer-fail.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/computer.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/input-tablet.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-other.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/changes-allow.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-run.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-low-charging.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-next-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emote-love.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-last.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-accessibility.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-help.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-good-charging.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/user-offline.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/view-sort-descending.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/user-invisible.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-open-recent.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-software-install.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-justify-right.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-offline.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-cool.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-favorite.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-jump.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/starred.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/input-mouse.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-keyboard-shortcuts.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-home.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/object-rotate-left.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-justify-left.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-angel.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/applications-science.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/non-starred.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/zoom-fit-best.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-mark-read.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-full-charged-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-embarrassed.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/contact-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/modem.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-monkey.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-smile.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/insert-object.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/help-browser.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/audio-volume-low.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-wired.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-surprise.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/user-idle.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mark-location.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-screensaver.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/image-missing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/network-transmit.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-playback-start.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/view-restore.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-raspberry.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-properties.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-playlist-repeat.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/input-keyboard.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/media-skip-backward.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-next.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/help-faq.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/audio-volume-high.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/web-browser.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-full-charging.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/accessories-character-map.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/phone.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-text-strikethrough.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/preferences-desktop-display.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/tools-check-spelling.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/mail-replied.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/dialog-error.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/face-smirk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/emblem-web.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/folder-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/format-indent-more.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-find.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-undo-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/task-due.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/go-first-rtl.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/help-about.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/utilities-terminal.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-save-as.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/appointment-new.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/dialog-warning.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/system-shutdown.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/battery-caution-charging.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/drive-multidisk.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/edit-clear.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/legacy/document-print-preview.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-readonly.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-synchronizing-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-system-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-documents-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-music-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-videos-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-ok-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-shared-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-important-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-synchronizing.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-default-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-unreadable.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-symbolic-link.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-photos-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-shared.png\n",
+ "oss-cad-suite/share/icons/Adwaita/32x32/emblems/emblem-favorite-symbolic.symbolic.png\n",
+ "oss-cad-suite/share/icons/gtkwave_256x256x32.png\n",
+ "oss-cad-suite/share/icons/hicolor/\n",
+ "oss-cad-suite/share/icons/hicolor/scalable/\n",
+ "oss-cad-suite/share/icons/hicolor/scalable/apps/\n",
+ "oss-cad-suite/share/icons/hicolor/scalable/apps/gtkwave.svg\n",
+ "oss-cad-suite/share/verilator/\n",
+ "oss-cad-suite/share/verilator/verilator-config-version.cmake\n",
+ "oss-cad-suite/share/verilator/include/\n",
+ "oss-cad-suite/share/verilator/include/vltstd/\n",
+ "oss-cad-suite/share/verilator/include/vltstd/sv_vpi_user.h\n",
+ "oss-cad-suite/share/verilator/include/vltstd/svdpi.h\n",
+ "oss-cad-suite/share/verilator/include/vltstd/vpi_user.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_fst_c.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_trace.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_probdist.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_profiler.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_dpi.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilatedos.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_timing.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_cov.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_sc.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_vcd_c.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_imp.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_vcd_sc.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_cov.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_fst_sc.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_cov_key.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_vpi.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_profiler.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_fst_sc.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_trace_imp.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_funcs.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_save.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_vpi.h\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/lz4.c\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/fstapi.h\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/fst_win_unistd.h\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/fastlz.c\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/fst_config.h\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/wavealloca.h\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/fstapi.c\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/lz4.h\n",
+ "oss-cad-suite/share/verilator/include/gtkwave/fastlz.h\n",
+ "oss-cad-suite/share/verilator/include/verilated.v\n",
+ "oss-cad-suite/share/verilator/include/verilated_dpi.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_save.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_types.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_sc_trace.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_sym_props.h\n",
+ "oss-cad-suite/share/verilator/include/verilatedos_c.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_vcd_sc.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_random.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_intrinsics.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_config.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_config.h.in\n",
+ "oss-cad-suite/share/verilator/include/verilated_syms.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_std.sv\n",
+ "oss-cad-suite/share/verilator/include/verilated_threads.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_random.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_vcd_c.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated_timing.cpp\n",
+ "oss-cad-suite/share/verilator/include/verilated.mk\n",
+ "oss-cad-suite/share/verilator/include/verilated_fst_c.h\n",
+ "oss-cad-suite/share/verilator/include/verilated_threads.h\n",
+ "oss-cad-suite/share/verilator/verilator-config.cmake\n",
+ "oss-cad-suite/share/verilator/bin/\n",
+ "oss-cad-suite/share/verilator/bin/verilator_coverage_bin_dbg\n",
+ "oss-cad-suite/share/verilator/bin/verilator_bin_dbg\n",
+ "oss-cad-suite/share/verilator/bin/verilator\n",
+ "oss-cad-suite/share/verilator/bin/verilator_bin\n",
+ "oss-cad-suite/share/verilator/bin/verilator_ccache_report\n",
+ "oss-cad-suite/share/verilator/bin/verilator_gantt\n",
+ "oss-cad-suite/share/verilator/bin/verilator_coverage\n",
+ "oss-cad-suite/share/verilator/bin/verilator_profcfunc\n",
+ "oss-cad-suite/share/verilator/bin/verilator_includer\n",
+ "oss-cad-suite/share/verilator/examples/\n",
+ "oss-cad-suite/share/verilator/examples/json_py/\n",
+ "oss-cad-suite/share/verilator/examples/json_py/sub.v\n",
+ "oss-cad-suite/share/verilator/examples/json_py/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/json_py/vl_file_copy\n",
+ "oss-cad-suite/share/verilator/examples/json_py/vl_hier_graph\n",
+ "oss-cad-suite/share/verilator/examples/json_py/top.v\n",
+ "oss-cad-suite/share/verilator/examples/cmake_protect_lib/\n",
+ "oss-cad-suite/share/verilator/examples/cmake_protect_lib/CMakeLists.txt\n",
+ "oss-cad-suite/share/verilator/examples/cmake_protect_lib/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_protect_lib/\n",
+ "oss-cad-suite/share/verilator/examples/make_protect_lib/sim_main.cpp\n",
+ "oss-cad-suite/share/verilator/examples/make_protect_lib/secret_impl.v\n",
+ "oss-cad-suite/share/verilator/examples/make_protect_lib/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_protect_lib/top.v\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_sc/\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_sc/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_sc/sc_main.cpp\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_sc/top.v\n",
+ "oss-cad-suite/share/verilator/examples/cmake_hello_sc/\n",
+ "oss-cad-suite/share/verilator/examples/cmake_hello_sc/CMakeLists.txt\n",
+ "oss-cad-suite/share/verilator/examples/cmake_hello_sc/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_c/\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_c/sim_main.cpp\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_c/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_c/top.v\n",
+ "oss-cad-suite/share/verilator/examples/cmake_tracing_sc/\n",
+ "oss-cad-suite/share/verilator/examples/cmake_tracing_sc/CMakeLists.txt\n",
+ "oss-cad-suite/share/verilator/examples/cmake_tracing_sc/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_sc/\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_sc/sub.v\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_sc/Makefile_obj\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_sc/input.vc\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_sc/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_sc/sc_main.cpp\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_sc/top.v\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_binary/\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_binary/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_hello_binary/top.v\n",
+ "oss-cad-suite/share/verilator/examples/cmake_tracing_c/\n",
+ "oss-cad-suite/share/verilator/examples/cmake_tracing_c/CMakeLists.txt\n",
+ "oss-cad-suite/share/verilator/examples/cmake_tracing_c/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_c/\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_c/sub.v\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_c/Makefile_obj\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_c/sim_main.cpp\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_c/input.vc\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_c/Makefile\n",
+ "oss-cad-suite/share/verilator/examples/make_tracing_c/top.v\n",
+ "oss-cad-suite/share/verilator/examples/cmake_hello_c/\n",
+ "oss-cad-suite/share/verilator/examples/cmake_hello_c/CMakeLists.txt\n",
+ "oss-cad-suite/share/verilator/examples/cmake_hello_c/Makefile\n",
+ "oss-cad-suite/share/manifest.json\n",
+ "oss-cad-suite/share/mcy/\n",
+ "oss-cad-suite/share/mcy/scripts/\n",
+ "oss-cad-suite/share/mcy/scripts/create_mutated.sh\n",
+ "oss-cad-suite/share/mcy/dash/\n",
+ "oss-cad-suite/share/mcy/dash/templates/\n",
+ "oss-cad-suite/share/mcy/dash/templates/chart_section.html\n",
+ "oss-cad-suite/share/mcy/dash/templates/header.html\n",
+ "oss-cad-suite/share/mcy/dash/templates/source.html\n",
+ "oss-cad-suite/share/mcy/dash/templates/footer.html\n",
+ "oss-cad-suite/share/mcy/dash/templates/index.html\n",
+ "oss-cad-suite/share/mcy/dash/templates/mutations.html\n",
+ "oss-cad-suite/share/mcy/dash/templates/sidebar.html\n",
+ "oss-cad-suite/share/mcy/dash/static/\n",
+ "oss-cad-suite/share/mcy/dash/static/css/\n",
+ "oss-cad-suite/share/mcy/dash/static/css/all.min.css\n",
+ "oss-cad-suite/share/mcy/dash/static/css/default.css\n",
+ "oss-cad-suite/share/mcy/dash/static/css/dataTables.bootstrap4.min.css\n",
+ "oss-cad-suite/share/mcy/dash/static/css/sb-admin-2.min.css\n",
+ "oss-cad-suite/share/mcy/dash/static/js/\n",
+ "oss-cad-suite/share/mcy/dash/static/js/datatables-demo.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/Chart.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/jquery.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/sb-admin-2.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/dataTables.bootstrap4.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/Chart.bundle.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/bootstrap.bundle.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/chartjs-plugin-colorschemes.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/jquery.easing.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/jquery.dataTables.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/highlight.pack.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/sb-admin-2.js\n",
+ "oss-cad-suite/share/mcy/dash/static/js/bootstrap.min.js\n",
+ "oss-cad-suite/share/mcy/dash/static/favicon.ico\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-regular-400.woff\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-regular-400.eot\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-brands-400.woff\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-solid-900.eot\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-regular-400.svg\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-brands-400.eot\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-regular-400.ttf\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-brands-400.svg\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-solid-900.ttf\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-regular-400.woff2\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-solid-900.woff2\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-brands-400.woff2\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-solid-900.svg\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-solid-900.woff\n",
+ "oss-cad-suite/share/mcy/dash/static/webfonts/fa-brands-400.ttf\n",
+ "oss-cad-suite/share/man/\n",
+ "oss-cad-suite/share/man/man1/\n",
+ "oss-cad-suite/share/man/man1/vzt2vcd.1\n",
+ "oss-cad-suite/share/man/man1/openocd.1\n",
+ "oss-cad-suite/share/man/man1/verilator_gantt.1\n",
+ "oss-cad-suite/share/man/man1/vcd2fst.1\n",
+ "oss-cad-suite/share/man/man1/lxt2miner.1\n",
+ "oss-cad-suite/share/man/man1/evcd2vcd.1\n",
+ "oss-cad-suite/share/man/man1/twinwave.1\n",
+ "oss-cad-suite/share/man/man1/verilator_coverage.1\n",
+ "oss-cad-suite/share/man/man1/dfu-suffix.1\n",
+ "oss-cad-suite/share/man/man1/python3.11.1\n",
+ "oss-cad-suite/share/man/man1/vztminer.1\n",
+ "oss-cad-suite/share/man/man1/python2.1\n",
+ "oss-cad-suite/share/man/man1/vcd2lxt2.1\n",
+ "oss-cad-suite/share/man/man1/vcd2vzt.1\n",
+ "oss-cad-suite/share/man/man1/rtlbrowse.1\n",
+ "oss-cad-suite/share/man/man1/iverilog.1\n",
+ "oss-cad-suite/share/man/man1/python2.7.1\n",
+ "oss-cad-suite/share/man/man1/python3.1\n",
+ "oss-cad-suite/share/man/man1/dfu-prefix.1\n",
+ "oss-cad-suite/share/man/man1/shmidcat.1\n",
+ "oss-cad-suite/share/man/man1/vvp.1\n",
+ "oss-cad-suite/share/man/man1/dfu-util.1\n",
+ "oss-cad-suite/share/man/man1/iverilog-vpi.1\n",
+ "oss-cad-suite/share/man/man1/lxt2vcd.1\n",
+ "oss-cad-suite/share/man/man1/verilator_profcfunc.1\n",
+ "oss-cad-suite/share/man/man1/fst2vcd.1\n",
+ "oss-cad-suite/share/man/man1/fstminer.1\n",
+ "oss-cad-suite/share/man/man1/xml2stems.1\n",
+ "oss-cad-suite/share/man/man1/vcd2lxt.1\n",
+ "oss-cad-suite/share/man/man1/cvc4.1\n",
+ "oss-cad-suite/share/man/man1/gtkwave.1\n",
+ "oss-cad-suite/share/man/man1/verilator.1\n",
+ "oss-cad-suite/share/man/man1/python.1\n",
+ "oss-cad-suite/share/man/man5/\n",
+ "oss-cad-suite/share/man/man5/gtkwaverc.5\n",
+ "oss-cad-suite/share/man/man5/cvc4.5\n",
+ "oss-cad-suite/share/man/man3/\n",
+ "oss-cad-suite/share/man/man3/libcvc4parser.3\n",
+ "oss-cad-suite/share/man/man3/options.3cvc\n",
+ "oss-cad-suite/share/man/man3/libcvc4.3\n",
+ "oss-cad-suite/share/man/man3/SmtEngine.3cvc\n",
+ "oss-cad-suite/share/info/\n",
+ "oss-cad-suite/share/openFPGALoader/\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a50tcpg236.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx150tcsg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xcvu9p-flga2104.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7s25csga324.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7k325tffg900.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7s50csga324.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx100fgg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx16ftg256.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_5ce223.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx45tfgg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_efinix_t8f81.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a25tcsg325.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a100tfgg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a35tcsg324.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx9csg324.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a200tsbg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a50tfgg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a35tftg256.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7s25csga225.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xcku3p-ffva676.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7k70tfbg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a75tfgg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a35tcpg236.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a100tcsg324.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_5ce927.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7vx330tffg1157.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_efinix_ti60f225.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7k160tffg676.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a200tfbg676.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a200tfbg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xcku5p-ffvb676.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a35tfgg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xcvu37p-fsvh2892.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7k420tffg901.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_ep4ce622.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_ep4ce1523.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc3s500evq100.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_10cl055484.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx45csg324.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx16csg324.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a25tcpg238.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6vlx130tff784.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a100tfgg676.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_5ce423.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7k325tffg676.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_10cl016484.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a15tcpg236.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx150tfgg484.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_10cl025256.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_ep4ce11523.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_5ce523.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc6slx9tqg144.bit.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_ep4ce1017.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_ep4ce2217.rbf.gz\n",
+ "oss-cad-suite/share/openFPGALoader/spiOverJtag_xc7a50tcsg324.bit.gz\n",
+ "oss-cad-suite/share/nextpnr/\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/xilinx/\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9.bin\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9C.bin\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/chipdb-GW1NZ-1.bin\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/chipdb-GW1N-4.bin\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18.bin\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/chipdb-GW1N-1.bin\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/chipdb-GW1NS-4.bin\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18C.bin\n",
+ "oss-cad-suite/share/nextpnr/himbaechel/example/\n",
+ "oss-cad-suite/share/openocd/\n",
+ "oss-cad-suite/share/openocd/scripts/\n",
+ "oss-cad-suite/share/openocd/scripts/board/\n",
+ "oss-cad-suite/share/openocd/scripts/board/microchip_sama5d27_som1_kit1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/at91rm9200-dk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_sam4e_ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_f3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc4500-application-kit-general.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/kasli.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/imx53loco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/lpc4350_spifi_generic.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm3s811-revb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/imx31pdk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32vldiscovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/snps_hsdk_4xd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nds32_xc7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32h7x_dual_qspi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nxp_lpc-link2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/imx27ads.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc4800-relax.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nordic_nrf51_dk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/radiona_ulx3s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/la_fonera-fon2200.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/dp_busblaster_v3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_j784s4evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nds32_corvettef1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f429discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/linksys_nslu2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/colibri.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/gatemate_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/x300t.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ampere_qs_mq_1s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32l4discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/eir.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/renesas_porter.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samd21_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f334discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/digilent_nexys_video.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/imx28evk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/twr-k60f120m.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32l4r9i-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_j721evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am437x_idk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_sam3u_ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/tp-link_wdr4300.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/dm365evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/rsc-w910.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/omap2420_h4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/lambdaconcept_ecpix-5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/linksys-wag200g.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/imx8mp-evk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/csb337.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nxp_rdb-ls1046a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/at91sam9263-ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_a53x2_dap.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xtensa-kc705-onboard.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/altera_sockit.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/emcraft_vf6-som.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/npcx_evb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am335xevm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32l4p5g-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f103c8_blue_pill.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_sam7_la2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_sam3x_ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/or1k_generic.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hitex_str9-comstick.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/am3517evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f723e-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/renesas_falcon.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/quicklogic_quickfeather.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_f4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/snps_em_sk_v2.2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/at91sam9261-ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/voltcraft_dso-3062c.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_h743zi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_cc26x2_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f413h-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/redbee.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/arty_s7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/numato_waxwing.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/digilent_cmod_a7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/pxa255_sst.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samd11_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm3210e_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/digilent_analog_discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32l476g-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-tm4c123gxl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/gumstix-aerocore.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/efm32.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f7discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/netgear-wg102.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_stm32_h107.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_l4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/imx27lnst.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/at91rm9200-ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am273_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hilscher_nxsb100.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_ek-tm4c1294xl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm3241g_eval_stlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/verdex.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/arm_evaluator7t.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am263_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/tocoding_poplar.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/avnet_ultrazed-eg.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/frdm-kl25z.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32h7b3i-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/lubbock.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_j7200evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32l0discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hilscher_nxhx500.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_wb55.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am243_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/bemicro_cycloneiii.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/mbed-lpc1768.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/advantech_imx8qm_dmsse20.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/spear310evb20.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_l1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_stm32_h103.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/pico-debug.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/tx27_stk5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_xt8_jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/at91eb40a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_beagleboard.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nxp_frdm-ls1012a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32-wrover-kit-3.3v.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hammer.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/trion_t20_bga256.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm3s3748.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/bluefield.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/snps_em_sk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hilscher_nxhx10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/quark_x10xx_board.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/snps_em_sk_v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm3210b_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am62a7evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f3discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm3s811.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/diolan_lpc4357-db1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/dptechnics_dpt-board-v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/actux3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/sifive-hifive1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32l496g-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32s3-builtin.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32439i_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/renesas_dk-s7g2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/pic-p32mx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/calao-usb-a9g20-c01.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nxp_imx7sabre.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/topasa900.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/kc705.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_tmdx570ls31usb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/spear300evb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/iar_lpc1768.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/fsl_imx6q_sabresd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am43xx_evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_swerv_jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/keil_mcb2140.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_cc26x0_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32-wrover-kit-1.8v.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/tp-link_tl-mr3020.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/mbed-lpc11u24.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_blaze.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_ek-tm4c123gxl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/microchip_explorer16.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_pulpissimo_jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm3220g_eval_stlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_cc32xx_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/mini6410.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_g0.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/asus-rt-n66u.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/calao-usb-a9260.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ecp5_evaluation.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samg53_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmos_xk-xac-xa8_arm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hilscher_nxdb500sys.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/emcraft_twr-vf6-som-bsb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samv71_xplained_ultra.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am654evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/renesas_silk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_8s208rb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/digilent_arty.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/iar_str912_sk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nordic_nrf52_ftx232.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/kontron_sl28.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/marsohod.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_f0.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/certuspro_evaluation.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/balloon3-cpu.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_l5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_stm32_p107.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hitex_lpc2929.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_sam4s_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32h745i-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_stm32_h405.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32h7x3i_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/spansion_sk-fm4-176l-s6e2cc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32439i_eval_stlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_beaglebone-base.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32s3-bridge.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/asus-rt-n16.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/rpi3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/sifive-e31arty.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/microchip_same51_curiosity_nano.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/tx25_stk5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f769i-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_m7_jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nordic_nrf51822_mkit.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm320518_eval_stlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/diolan_lpc4350-db1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_pandaboard_es.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/kindle2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32s2-kaluga-1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/rigado_bmd300_ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_lpc_h2148.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc1100-boot-kit.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/renesas_stout.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm320518_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/renesas_salvator-xs.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/minispartan6.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/crossbow_tech_imote2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32100b_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm3s8962.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_sam7_ex256.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/netgear-dg834v3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/dm6446evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hilscher_nxeb500hmi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_beaglebone_black.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/adsp-sc584-ezbrd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc-2go.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_sam4s_ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/rpi4b.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32ldiscovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/da850evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/snps_hsdk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32s3-ftdi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_at91sam9rl-ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/twr-vf65gs10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_sam4l8_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_same70_xplained.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/numato_mimas_a7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am642evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/sayma_amc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32mp13x_dk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32s2-bridge.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32h735g-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_dk-tm4c129.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/lisa-l.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_m4_jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_f103rb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xtensa-palladium-vdebug.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/lemaker_hikey.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f0discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_sam9_l9260.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/numato_neso.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xtensa-rt685-ext.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/digilent_zedboard.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/kwikstik.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/spear310evb20_mod.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nordic_nrf52_dk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/spear300evb_mod.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/twr-vf65gs10_cmsisdap.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f469i-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samd10_xplained_mini.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32429i_eval_stlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hitex_lpc1768stick.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/digi_connectcore_wi-9c.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/evb-lan9255.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/numato_opsis.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_pandaboard.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_j721e_swd_native.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/imx53-m53evk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/at91sam9g20-ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/digilent_cmod_s7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_tmdx570ls20susb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_l073rz.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm4f120xl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm3s1968.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/smdk6410.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc4200-application-kit-actuator.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32-bridge.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/mini2440.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_g4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f746g-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/keil_mcb1700.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_cc3200_launchxl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_b-l475e-iot01a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_cc13x2_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32h750b-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/quark_d2000_refboard.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/emcraft_imx8m-som-bsb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32429i_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nxp_frdm-k64f.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/bcm28155_ap.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/adapteva_parallella1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/frdm-kl46z.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/osk5912.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/dm355evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hilscher_nxhx50.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/linksys-wrt54gl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/olimex_LPC2378STK.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/efikamx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/gowin_runber.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am62pevm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nxp_mcimx8m-evk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_cc3220sf_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_j721s2evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am625evm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/steval_pcc010.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f4discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_beagleboard_xm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/digilent_atlys.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/dp_busblaster_v4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-tm4c1294xl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samr21_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_m4_dap.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_j722sevm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm4f232.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/snps_em_sk_v2.1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ethernut3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_sam3n_ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32mp15x_dk2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/reflexces_achilles_i-dev_kit_arria10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/unknown_at91sam9260.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/gadgetfactory_papilio_duo.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/steval-idb012v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_a75x4_jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_msp432_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/imx35pdk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32h747i-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/telo.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/alphascale_asm9260_ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samg55_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/nxp_rdb-ls1088a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/arm_musca_a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/propox_mmnet1001.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_8l152r8.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/phone_se_j100i.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/steval-idb011v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/embedded-artists_lpc2478-32.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ampere_emag8180.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/lpc1850_spifi_generic.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/csb732.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/logicpd_imx27.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/dk-tm4c129.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/glyn_tonga2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ampere_qs_mq_2s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f469discovery.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm3s6965.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/renesas_gr_peach.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samd20_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/phytec_lpc3250.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_saml21_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm3s9d92.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc4500-application-kit-sdram.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/voipac.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_sam3s_ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samc20_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm3210c_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/numato_saturn.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/esp32-ethernet-kit-3.3v.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc4300-relax.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_a75x4_dap.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/gti/\n",
+ "oss-cad-suite/share/openocd/scripts/board/gti/espressobin.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/steval-idb008v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/mcb1700.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/at91cap7a-stk-sdram.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ek-lm3s9b9x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_cc13x0_launchpad.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/marsohod3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_am625_swd_native.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xtensa-palladium-vdebug-dual.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f412g-disco.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm3220g_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/microchip_saml11_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/spansion_sk-fm4-u120-9b560.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/str910-eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/icnova_imx53_sodimm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/vd_a53x2_jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/pipistrello.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc4700-relax.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/bt-homehubv1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xtensa-kc705-ext-dap.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/kcu105.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/uptech_2410.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/kc100.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/spear320cpu.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/steval-idb007v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_samc21_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xtensa-kc705-ext.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/ti_beaglebone.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/sifive-hifive1-revb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm3241g_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_at91sam9260-ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_h745zi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/novena-internal-fpga.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/sheevaplug.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/insignal_arndale.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/openrd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/sifive-e51arty.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/icnova_sam9g45_sodimm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm327x6g_eval.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/st_nucleo_f7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/xmc4500-relax.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/8devices-lima.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/marsohod2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/twr-k60n512.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/spear320cpu_mod.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/microchip_same54_xplained_pro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/atmel_at91sam7s-ek.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/topas910.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/stm32f429disc1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/board/hitex_stm32-performancestick.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arm/\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arm/arm7tdmi.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arm/arm966.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arm/arm920.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arm/cortex_m3.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arm/arm946.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arc/\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arc/hs.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arc/em.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arc/common.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpu/arc/v2.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/test/\n",
+ "oss-cad-suite/share/openocd/scripts/test/syntax1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/test/selftest.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/lattice_ecp2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/lattice_machxo3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/altera-cyclonev.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/lattice_certus.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/gowin_gw1n.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/altera-cycloneiii.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/xilinx-dna.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/altera-10m50.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/altera-cyclone10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/altera-cycloneiv.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/lattice_certuspro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/altera-arriaii.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/xilinx-xadc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/efinix_trion.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/lattice_ecp5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/altera-ep3c10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/lattice_ecp3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/gatemate.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/fpga/efinix_titanium.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/tools/\n",
+ "oss-cad-suite/share/openocd/scripts/tools/test_cpu_speed.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/tools/memtest.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/tools/firmware-recovery.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/mem_helper.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/interface/\n",
+ "oss-cad-suite/share/openocd/scripts/interface/angie.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/nulink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/stlink-v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/kitprog.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/openjtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/vsllink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/jtag_hat_rpi2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ast2600-gpiod.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/esp_usb_jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/osbdm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ft232r.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/usbprog.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ft232r/\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ft232r/radiona_ulx3s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/rshim.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/beaglebone-swd-native.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/esp_usb_bridge.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/jtag_vpi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/arm-jtag-ew.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/raspberrypi2-native.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/altera-usb-blaster2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/flashlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/cmsis-dap.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/imx-native.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/dln-2-gpiod.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/opendous.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/jtag-lock-pick_tiny_2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/miniwiggler.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_etm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/ngxtech.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/hilscher_nxhx10_etm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/luminary.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/flyswatter2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/um232h.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/lambdaconcept_ecpix-5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/imx8mp-evk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/incircuit-icprog.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/turtelizer2-revB.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/hitex_str9-comstick.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/c232hm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/olimex-arm-usb-tiny-h.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/xds100v2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/esp32s2_kaluga_v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/tumpa.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/stm32-stick.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/olimex-arm-usb-ocd-h.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/digilent_jtag_hs3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/flossjtag-noeeprom.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/axm0432.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/olimex-arm-usb-ocd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/luminary-icdi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/rowley-cc-arm-swd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/minimodule.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/jtagkey2p.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/redbee-usb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/cortino.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/signalyzer.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/dlp-usb1232h.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/tumpa-lite.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/dp_busblaster_kt-link.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/jtagkey.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/100ask-openjtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/digilent_jtag_smt2_nc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_re.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/ft232h-module-swd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/steppenprobe.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/flossjtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/signalyzer-lite.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/minimodule-swd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/iotlab-usb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/turtelizer2-revC.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/minispartan6.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/kt-link.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/esp32_devkitj_v1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/lisa-l.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/hitex_lpc1768stick.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/vpaclink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/pls_spc5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/neodb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/hilscher_nxhx50_re.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/isodebug.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/mbftdi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/gw16042.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/digilent-hs1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/olimex-arm-jtag-swd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/ashling-opella-ld-jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/dp_busblaster.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/xds100v3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/snps_sdp.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/m53evk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/tigard.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/opendous_ftdi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/xt_kc705_ml605.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/swd-resistor-hack.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/oocdlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/sipeed-rv-debugger.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/hilscher_nxhx500_etm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/ti-icdi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/pipistrello.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/flyswatter.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/luminary-lm3s811.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/jtagkey2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/digilent-hs2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/hie-jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/sheevaplug.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/icebear.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/openrd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/redbee-econotag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/ashling-opella-ld-swd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/olimex-jtag-tiny.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/openocd-usb.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ftdi/openocd-usb-hs.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/parport.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/altera-usb-blaster.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/parport_dlc5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/vdebug.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/raspberrypi-gpio-connector.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/chameleon.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/usb-jtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/raspberrypi-native.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/estick.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/jtag_dpi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/stlink-v2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/at91rm9200.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/beaglebone-jtag-native.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ti-icdi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/dummy.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/buspirate.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/stlink-v2-1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/sysfsgpio-raspberrypi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/stlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/rlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/jlink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/xds110.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/stlink-dap.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/interface/ulink.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/bitsbytes.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/target/\n",
+ "oss-cad-suite/share/openocd/scripts/target/sharp_lh79532.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/k1879x61r.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/dragonite.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/c100helper.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/target/pxa255.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_cc32xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/quark_d20xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/max32620.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/aducm360.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8l15xx6.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/snps_hsdk_4xd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/davinci.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/altera_fpgasoc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3nXX.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8s103.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/qn908x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2294.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/rp2040.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3u1c.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/esp32s2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/gp326xxxa.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3XXX.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm4718.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32l4x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32l1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm5352e.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx28.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/spear3xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/pxa3xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/nhs31xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32h7x_dual_bank.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9261.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32f1x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm2836.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32x5x_common.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc4350.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc8xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc84x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9g20.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/hilscher_netx10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/eos_s3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc1xxx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/renesas_rz_five.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/u8500.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/kx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32l5x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx7ulp.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/psoc5lp.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam7a2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/netl_xlp316.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32f0x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/renesas_r7s72100.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/allwinner_v3s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx6.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/max32625.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_tms570ls20xxx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx8m.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam4c32x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc4357.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc4370.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/is5114.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/renesas_s7g2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx31.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/1986be1t.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/pxa270.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/zynq_7000.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/str912.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_tms570.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/esp32.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xtensa-core-esp32s3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atmega128.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32wbx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3ax_8x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32c0x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/efm32.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/omap3530.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32h7x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx25.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm2711.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/alphascale_asm9260t.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/rsl10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atsaml1x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/geehy/\n",
+ "oss-cad-suite/share/openocd/scripts/target/geehy/apm32f4x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/geehy/apm32f0x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/geehy/apm32f1x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/psoc4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/hi6220.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/esp_common.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ls1028a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8l15xx4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9260.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm281xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32u5x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/em357.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/sim3x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32g4x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc12xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/am335x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/samsung_s3c6410.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti-cjtag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atmega128rfa1.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/snps_em_sk_fpga.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atsamv.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32wbax.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/klx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/swm050.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/quark_x10xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91samdXX.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atheros_ar9331.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ngultra.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/em358.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at32ap7000.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ampere_emag.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xmos_xs1-xau8a-10_arm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx35.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bluefield.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/rtl872xd.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc3250.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/npcx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xtensa-core-xt8.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/mdr32f9q2i.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/k1921vk01t.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx53.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2148.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_dm355.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9263.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_dm6446.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/armada370.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti-ar7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3u4e.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32f2x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/vd_aarch64.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/readme.txt\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8l.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/samsung_s3c4510.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam4lXX.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8l152.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/s32k.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/fm4_s6e2cc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc11xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/dsp56321.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/netl_xlp3xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32xl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/faux.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atmega32u4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam7sx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/infineon/\n",
+ "oss-cad-suite/share/openocd/scripts/target/infineon/tle987x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atheros_ar2313.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx8qm.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/smp8634.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ks869x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8l15xx8.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/omap4430.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/nrf52.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/nds32v5.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam4sXX.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/cc2538.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/rk3399.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_calypso.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_cc26x0.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2xxx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/netl_xlp308.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atsame5x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/netl_xlp304.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8s003.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/tmpa910.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2478.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/str750.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_cc13x0.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/tmpa900.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9260_ext_RAM_ext_flash.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/renesas_rz_g2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/cs351x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9rl.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/snps_hsdk.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ls1088a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/renesas_rcar_reset_common.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/hilscher_netx50.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3u2e.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/rk3308.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm2837.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/nrf51.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/arm_corelink_sse200.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2378.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_rm4x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atheros_ar2315.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xtensa-core-esp32s2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32f4x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2460.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/gd32vf103.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/tnetc4401.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/kl46.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/marvell/\n",
+ "oss-cad-suite/share/openocd/scripts/target/marvell/88f3710.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/marvell/88f3720.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/marvell/88f37x0.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/marvell/cn9130.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3u1e.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/k60.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lsch3_common.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ampere_qs_mq.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/fm3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/samsung_s3c2410.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ls1046a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8l151x3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc3131.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_cc13x2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ar71xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/str730.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/numicro.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx6sx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ke1xz.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xmc4xxx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91samg5x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/feroceon.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/mc13224v.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/samsung_s3c2440.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx6ul.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32l1x_dual_bank.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xtensa.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/samsung_s3c2450.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc8nxx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/c100config.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/target/kl25.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx21.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2124.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3ax_4x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xmc1xxx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/str710.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/c100regs.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/target/c100.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/pic32mx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/psoc6.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/exynos5250.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32g0x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/dsp568013.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2900.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm47xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/k40.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam7se512.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8l151x2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/omap5912.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/hi3798.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ke1xf.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/nuc910.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/aduc702x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc1850.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/omap2420.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2129.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/hilscher_netx500.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/or1k.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc40xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam7x512.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32mp15x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/renesas_rcar_gen2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/max3263x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx51.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3ax_xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ke0x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91r40008.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam4sd32x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/vybrid_vf6xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam4XXX.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/fm4_mb9bf.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/vd_riscv.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3uxx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_cc3220sf.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/amdm37x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/fm4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32w108xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_cc26x2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xilinx_zynqmp.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32f3x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ixp42x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/qualcomm_qca4531.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/omap4460.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm6348.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/avr32.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3sXX.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_k3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc2103.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/dsp568037.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/am437x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32l0_dual_bank.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91rm9200.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/icepick.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3u4c.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/test_syntax_error.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bluenrg-x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam3u2c.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stellaris.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32mp13x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/numicro_m4.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_dm365.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ls1012a.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm8s105.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32f7x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/atheros_ar9344.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/vd_cortex_m.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32wlx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm2835.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_tms570lc43xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/adsp-sc58x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xtensa-core-esp32.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9g10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/test_reset_syntax_error.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_msp432.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam4cXXX.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam7x256.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/altera_fpgasoc_arria10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/epc9301.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/xtensa-core-nxp_rt600.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc17xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/gd32e23x.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/ti_tms570ls3137.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/cavium_cn61xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/swj-dp.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/target/esp32s3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/lpc13xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/omapl138.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sam9g45.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/renesas_rcar_gen3.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/stm32l0.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/imx27.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/at91sama5d2.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/bcm4706.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/target/esi32xx.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/stm32/\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/stm32/stm32_rcc.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/stm32/stm32_regs.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/stm32/stm32.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/spear/\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/spear/spear3xx_ddr.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/spear/quirk_no_srst.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/st/spear/spear3xx.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/rtt.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam9261.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam9263_matrix.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91_pio.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91_wdt.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam9263.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam9261_matrix.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91_pmc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/pmc.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/sam9_smc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam9_smc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam9_sdramc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91_rstc.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam7x128.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/usarts.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/hardware.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam7x256.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/at91sam9_init.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/chip/atmel/at91/aic.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/ti/\n",
+ "oss-cad-suite/share/openocd/scripts/chip/ti/lm3s/\n",
+ "oss-cad-suite/share/openocd/scripts/chip/ti/lm3s/lm3s.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/chip/ti/lm3s/lm3s_regs.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/mmr_helpers.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/memory.tcl\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/altera-max10.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc3s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xcr3256.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc7.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/altera-epm240.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/altera-maxv.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/lattice-lc4032ze.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xcf-p.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xcu.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc4vfx_40_60_100_140.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc6v.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc7v.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc6s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc5vfx_100_130_200.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/jtagspi.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/altera-maxii.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc7vh870t.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc5v.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc7vh580t.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xcf-s.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/altera-5m570z-cpld.cfg\n",
+ "oss-cad-suite/share/openocd/scripts/cpld/xilinx-xc4v.cfg\n",
+ "oss-cad-suite/share/openocd/angie/\n",
+ "oss-cad-suite/share/openocd/angie/angie_bitstream.bit\n",
+ "oss-cad-suite/share/openocd/angie/angie_firmware.bin\n",
+ "oss-cad-suite/share/openocd/OpenULINK/\n",
+ "oss-cad-suite/share/openocd/OpenULINK/ulink_firmware.hex\n",
+ "oss-cad-suite/share/openocd/contrib/\n",
+ "oss-cad-suite/share/openocd/contrib/libdcc/\n",
+ "oss-cad-suite/share/openocd/contrib/libdcc/dcc_stdio.c\n",
+ "oss-cad-suite/share/openocd/contrib/libdcc/example.c\n",
+ "oss-cad-suite/share/openocd/contrib/libdcc/dcc_stdio.h\n",
+ "oss-cad-suite/share/openocd/contrib/libdcc/README\n",
+ "oss-cad-suite/share/openocd/contrib/60-openocd.rules\n",
+ "oss-cad-suite/share/trellis/\n",
+ "oss-cad-suite/share/trellis/timing/\n",
+ "oss-cad-suite/share/trellis/timing/util/\n",
+ "oss-cad-suite/share/trellis/timing/util/__init__.py\n",
+ "oss-cad-suite/share/trellis/timing/util/cell_fuzzers.py\n",
+ "oss-cad-suite/share/trellis/timing/util/timing_solver.py\n",
+ "oss-cad-suite/share/trellis/timing/util/extract_ncl_routing.py\n",
+ "oss-cad-suite/share/trellis/timing/util/timing_dbs.py\n",
+ "oss-cad-suite/share/trellis/timing/util/cell_timings.py\n",
+ "oss-cad-suite/share/trellis/timing/util/interconnect_html.py\n",
+ "oss-cad-suite/share/trellis/timing/util/.gitignore\n",
+ "oss-cad-suite/share/trellis/timing/util/pip_classes.py\n",
+ "oss-cad-suite/share/trellis/timing/util/design_pip_classes.py\n",
+ "oss-cad-suite/share/trellis/timing/util/parse_sdf.py\n",
+ "oss-cad-suite/share/trellis/timing/util/cell_html.py\n",
+ "oss-cad-suite/share/trellis/util/\n",
+ "oss-cad-suite/share/trellis/util/common/\n",
+ "oss-cad-suite/share/trellis/util/common/isptcl.py\n",
+ "oss-cad-suite/share/trellis/util/common/devices.py\n",
+ "oss-cad-suite/share/trellis/util/common/__init__.py\n",
+ "oss-cad-suite/share/trellis/util/common/diamond.py\n",
+ "oss-cad-suite/share/trellis/util/common/database.py\n",
+ "oss-cad-suite/share/trellis/util/common/nets/\n",
+ "oss-cad-suite/share/trellis/util/common/nets/general.py\n",
+ "oss-cad-suite/share/trellis/util/common/nets/__init__.py\n",
+ "oss-cad-suite/share/trellis/util/common/nets/__main__.py\n",
+ "oss-cad-suite/share/trellis/util/common/nets/ecp5.py\n",
+ "oss-cad-suite/share/trellis/util/common/nets/util.py\n",
+ "oss-cad-suite/share/trellis/util/common/nets/machxo2.py\n",
+ "oss-cad-suite/share/trellis/util/common/tiles.py\n",
+ "oss-cad-suite/share/trellis/misc/\n",
+ "oss-cad-suite/share/trellis/misc/openocd/\n",
+ "oss-cad-suite/share/trellis/misc/openocd/ecp5-versa.cfg\n",
+ "oss-cad-suite/share/trellis/misc/openocd/trellisboard.cfg\n",
+ "oss-cad-suite/share/trellis/misc/openocd/ulx3s.cfg\n",
+ "oss-cad-suite/share/trellis/misc/openocd/ulx3s_85k.cfg\n",
+ "oss-cad-suite/share/trellis/misc/openocd/ecp5-evn.cfg\n",
+ "oss-cad-suite/share/trellis/misc/openocd/ecp5-versa5g.cfg\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5um-25f.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5u-85f.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5u-45f.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5um5g-45f.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/README.md\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5um5g-85f.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_machxo2-1200hc.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5u-25f.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5um-85f.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5um-45f.config\n",
+ "oss-cad-suite/share/trellis/misc/basecfgs/empty_lfe5um5g-25f.config\n",
+ "oss-cad-suite/share/trellis/database/\n",
+ "oss-cad-suite/share/trellis/database/COPYING\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/LCMXO3D-9400/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/LCMXO3D-9400/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/LCMXO3D-9400/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_2/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_2/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_3/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_3/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_5/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_5/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_6/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_6/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/timing/speed_6/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/LCMXO3D-4300/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/LCMXO3D-4300/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/LCMXO3D-4300/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_CFG3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_CFG3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR2_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR2_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_PIC_T0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_PIC_T0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_END0_DLL3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_END0_DLL3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1_I3C/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1_I3C/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_END0_DLL5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_END0_DLL5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_B_DUMMY_VIQ_VREF/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_B_DUMMY_VIQ_VREF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_END0_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_END0_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_CFG1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_CFG1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER8/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER7/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/LLC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/LLC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/LLC0PIC_I3C_VREF3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/LLC0PIC_I3C_VREF3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_T/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_T/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_HSE/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_HSE/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_T_DUMMY_VIQ/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_T_DUMMY_VIQ/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/URC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/URC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_T_DUMMY_OSC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_T_DUMMY_OSC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_T0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_T0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR_CIB_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR_CIB_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR2_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR2_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/DQSDLL_L/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/DQSDLL_L/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER9/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER9/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR0_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR0_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR2_END_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR2_END_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0_I3C/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0_I3C/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_B/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_B/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_CFG2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_CFG2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/B_DUMMY_ENDL/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/B_DUMMY_ENDL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_B_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_B_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/ULC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/ULC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L0_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PLC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PLC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTERC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTERC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_PIC_B_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_PIC_B_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_PIC_T_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_PIC_T_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR_CIB_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR_CIB_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CFG1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CFG1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR_CIB_4K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR_CIB_4K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_R1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_R1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_CFG0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_CFG0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/GPLL_L0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/GPLL_L0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/LRC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/LRC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_B_CIB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_B_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR_DUMMY_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR_DUMMY_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_L1_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_R1_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_R1_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_END1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR0_END1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_B0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_B0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CFG3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CFG3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_EBR_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2_END1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2_END1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/GPLL_R0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/GPLL_R0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CFG0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CFG0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR0_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR0_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/LRC1PIC2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/LRC1PIC2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2_END1_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2_END1_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR1_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR1_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CFG2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CFG2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_T_CIB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER_T_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/B_DUMMY_ENDR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/B_DUMMY_ENDR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER6/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTER6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2_END1_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR2_END1_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_PIC_B0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_PIC_B0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR0_END_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/EBR0_END_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR1_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CIB_EBR1_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_T_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/PIC_T_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTERB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTERB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTERA/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/CENTERA/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/DQSDLL_R/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/DQSDLL_R/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/ULC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3D/tiledata/ULC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/README.md\n",
+ "oss-cad-suite/share/trellis/database/MachXO/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO1200/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO1200/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO1200/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO640/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO640/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LRC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LRC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/URC256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/URC256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR2K_1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR2K_1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/ULC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/ULC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK0_2K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK0_2K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LLC_EBR2K_0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LLC_EBR2K_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/ULC256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/ULC256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_11/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_11/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/FPLC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/FPLC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_14/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_14/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK2_2K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK2_2K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK5_2K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK5_2K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_R/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_R/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_18/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_18/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_L/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_L/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_6/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/ULC_EBR2K_20/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/ULC_EBR2K_20/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC6_T/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC6_T/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_L_ISP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_L_ISP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_OSC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_OSC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK3_2K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK3_2K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_6/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_L_GSR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_L_GSR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR2K_3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR2K_3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_ISP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_ISP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_10/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_10/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PLC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PLC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_L_OSC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC_L_OSC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR2K_19/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR2K_19/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK_DUMMY_PICB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK_DUMMY_PICB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_GSR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_GSR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/URC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/URC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_17/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_17/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_PLL1K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_PLL1K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK_DUMMY_PICT/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK_DUMMY_PICT/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC6_B/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC6_B/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_12/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_12/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_T/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_T/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_B/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_B/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_13/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_13/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_9/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_9/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_R/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_R/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_15/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_15/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_8/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_16/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_16/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_7/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR2K_7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_R_LVDS/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_R_LVDS/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK1_2K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK1_2K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR1K_0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR1K_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_L_EBR1K_1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_R/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC4_R/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR2K_2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/PIC2_L_EBR2K_2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LLC256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LLC256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK4_2K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/CLK4_2K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LLC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LLC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LRC256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/tiledata/LRC256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO256/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO256/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO2280/\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO2280/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO/LCMXO2280/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/.gitignore\n",
+ "oss-cad-suite/share/trellis/database/devices.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_7/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_7/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_7/cells.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_8_5G/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_8_5G/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_8_5G/cells.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_8/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_8/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_8/cells.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_6/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_6/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/timing/speed_6/cells.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-85F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-85F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-85F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-85F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-45F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-45F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-45F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-45F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-85F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-85F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-85F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-85F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-25F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-25F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-25F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-25F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-85F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-85F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-85F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-85F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-25F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-25F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-25F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-25F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUC/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF8/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DSP/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DSP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_UR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_UR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP4/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/TAP_DRIVE_CIB/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/TAP_DRIVE_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_A/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_A/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BMID_2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BMID_2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF6/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP7/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP4/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_LR_S/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_LR_S/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_UL/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_UL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_LR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_LR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_7/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL0_LL/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL0_LL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCU2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCU2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP5/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CMUX_UL_0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CMUX_UL_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR4/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP8/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUG/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUG/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL1_LR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL1_LR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCU3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCU3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_ULA/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_ULA/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR5/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EFB1_PICB1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EFB1_PICB1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EFB0_PICB0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EFB0_PICB0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL0_UL/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL0_UL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL_25K/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL_25K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUH/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUH/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUI/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUI/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR6/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/RMID_0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/RMID_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICB1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICB1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_CMUX_UL/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_CMUX_UL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUD/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUD/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_F/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_S/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_S/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CMUX_LR_0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CMUX_LR_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PVT_COUNT2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PVT_COUNT2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/ECLK_R/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/ECLK_R/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/ECLK_L/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/ECLK_L/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU6/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCU3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCU3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUH/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUH/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/TAP_DRIVE/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/TAP_DRIVE/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP6/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_E/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_E/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUA/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUA/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL2_DQS1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL2_DQS1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/LMID_0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/LMID_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_PLL1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_PLL1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU7/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_5/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_RX/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_RX/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP6/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF7/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_UR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_UR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCU0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCU0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_UL/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_UL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF7A/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF7A/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EFB2_PICB0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EFB2_PICB0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/TMID_0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/TMID_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCU0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCU0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICB0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICB0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_T/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_T/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PIOT1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PIOT1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR2_DQS1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR2_DQS1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VIQ_BUF/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VIQ_BUF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_6/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLC2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLC2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EFB3_PICB1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EFB3_PICB1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BMID_2V/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BMID_2V/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/SPICB0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/SPICB0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_URA/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_URA/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUB/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR_25K/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR_25K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCU2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCU2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LR_A/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LR_A/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU8/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL1_DQS0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL1_DQS0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU4/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICT1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICT1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_PLL2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_PLL2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_PLL3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_PLL3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL1_UL/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL1_UL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LX/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LX/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_8/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_CMUX_UR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DSP_CMUX_UR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF2A/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF2A/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/POR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/POR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_4/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DUMMY_TILE_4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/OSC/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/OSC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BMID_0V/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BMID_0V/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_EFB0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_EFB0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC_A/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC_A/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUD/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUD/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR8/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUB/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF4/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BANKREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL1_UR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL1_UR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL0_LR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL0_LR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL0_DQS2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL0_DQS2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_EFB1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_EFB1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_LL/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DDRDLL_LL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU5/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_PLL0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_PLL0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP7/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUA/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUA/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCU1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCU1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL0_UR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PLL0_UR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_EBR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_EBR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUI/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUI/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP5/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BMID_0H/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/BMID_0H/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DCU0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR7/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_EBR7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PIOT0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PIOT0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CMUX_LL_0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CMUX_LL_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/TMID_1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/TMID_1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DTR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/DTR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUF/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICT0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICT0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUC/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR1_DQS0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR1_DQS0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR1_DQS3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR1_DQS3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL1_DQS3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICL1_DQS3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_LR/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_LR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUG/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCUG/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCU1/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CIB_DCU1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUF/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/VCIB_DCUF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR0_DQS2/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/PICR0_DQS2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CMUX_UR_0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/CMUX_UR_0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP3/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB_DSP3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP8/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/MIB2_DSP8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL0/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-45F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-45F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-45F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-45F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-12F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-12F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-12F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5U-12F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-45F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-45F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-45F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM5G-45F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-25F/\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-25F/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-25F/globals.json\n",
+ "oss-cad-suite/share/trellis/database/ECP5/LFE5UM-25F/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/timing/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/timing/speed_5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/timing/speed_5/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/timing/speed_5/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/timing/speed_6/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/timing/speed_6/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/timing/speed_6/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-1300/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-1300/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-1300/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-9400/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-9400/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-9400/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-2100/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-2100/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-2100/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_CFG3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_CFG3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR2_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR2_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC3PIC_VREF3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC3PIC_VREF3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_PIC_T0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_PIC_T0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END0_DLL3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END0_DLL3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/URC1PIC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/URC1PIC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END0_DLL5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END0_DLL5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0_VREF3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0_VREF3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_CIB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B_DUMMY_VIQ_VREF/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B_DUMMY_VIQ_VREF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END0_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END0_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_CFG1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_CFG1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR_DUMMY_END3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR_DUMMY_END3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L3_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L3_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER8/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER7/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B_DUMMY_VREF/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B_DUMMY_VREF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END2_DLL3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END2_DLL3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_T/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_T/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_T_DUMMY_VIQ/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_T_DUMMY_VIQ/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_LS0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_LS0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L1_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L1_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/URC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/URC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_T_DUMMY_OSC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_T_DUMMY_OSC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_T0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_T0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_CIB_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_CIB_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_END0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_END0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_R0_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_R0_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR2_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR2_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/DQSDLL_L/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/DQSDLL_L/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER9/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER9/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR0_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR0_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR2_END_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR2_END_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L2_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L2_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_B/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_B/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_CFG2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_CFG2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/B_DUMMY_ENDL/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/B_DUMMY_ENDL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L1_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L1_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/URC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/URC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/ULC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/ULC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L0_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PLC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PLC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTERC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTERC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_PIC_B_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_PIC_B_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_PIC_T_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_PIC_T_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_CIB_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_CIB_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CFG1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CFG1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_CIB_4K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_CIB_4K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_R1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_R1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_CFG0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_CFG0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/GPLL_L0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/GPLL_L0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LRC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LRC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_B_CIB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_B_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR_DUMMY_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR_DUMMY_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L1_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L1_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_R1_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_R1_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR_DUMMY_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR_DUMMY_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CFG3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CFG3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L2_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L2_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_EBR_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_END1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_END1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/GPLL_R0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/GPLL_R0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/T_DUMMY_ENDR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/T_DUMMY_ENDR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L3_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L3_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CFG0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CFG0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR0_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR0_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_R0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_R0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LRC1PIC2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LRC1PIC2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_END1_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_END1_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR1_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR1_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CFG2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CFG2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/ULC2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/ULC2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_T_CIB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER_T_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/B_DUMMY_ENDR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/B_DUMMY_ENDR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER6/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTER6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_RS0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_RS0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_END1_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR2_END1_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END2_DLL45/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR0_END2_DLL45/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_PIC_B0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_PIC_B0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L2_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_L2_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR0_END_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/EBR0_END_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR1_10K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CIB_EBR1_10K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/ULC3PIC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/ULC3PIC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC0PIC_VREF3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC0PIC_VREF3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_T_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_T_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LLC2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B_DUMMY_VIQ/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/PIC_B_DUMMY_VIQ/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTERB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTERB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTERA/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/CENTERA/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/DQSDLL_R/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/DQSDLL_R/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/ULC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/ULC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LRC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/tiledata/LRC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-6900/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-6900/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-6900/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-4300/\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-4300/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO3/LCMXO3-4300/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-256/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-256/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_2/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_2/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_3/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_3/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_4/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_4/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_5/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_5/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_1/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_1/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_6/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_6/interconnect.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/timing/speed_6/cells.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-1200/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-1200/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-1200/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-2000/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-2000/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-2000/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-4000/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-4000/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-4000/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_CFG3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_CFG3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC3PIC_VREF3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC3PIC_VREF3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR1_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR1_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R1_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R1_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_T0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_T0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/URC1PIC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/URC1PIC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_TS0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_TS0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_B_CIB_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_B_CIB_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L0_VREF3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L0_VREF3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VIQ_VREF/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VIQ_VREF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_RS0_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_RS0_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B0_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B0_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R0_DUMMY_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R0_DUMMY_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_CFG1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_CFG1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC0PIC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC0PIC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR_DUMMY_END3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR_DUMMY_END3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L3_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L3_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER8/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER8/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER7/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER7/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR2_640_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR2_640_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC0_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC0_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VREF/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VREF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END2_DLL3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END2_DLL3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC1_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC1_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_T/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_T/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T0_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T0_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_VIQ/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_VIQ/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_LS0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_LS0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR2_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR2_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER4_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER4_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/URC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/URC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_OSC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_OSC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR0_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR0_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R0_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R0_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR2_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR2_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/DQSDLL_L/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/DQSDLL_L/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR0_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR0_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_T_CIB_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_T_CIB_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L0_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L0_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L2_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L2_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_B/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_B/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_CFG2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_CFG2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/URC0VREF/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/URC0VREF/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDL/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/URC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/URC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDR_VREF2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDR_VREF2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PLC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PLC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTERC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTERC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_T_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_T_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB_4K/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB_4K/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_BS0_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_BS0_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG0_ENDL/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG0_ENDL/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_CFG0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_CFG0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/GPLL_L0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/GPLL_L0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_VIQ_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_VIQ_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R0_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R0_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_B_CIB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_B_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_TS0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_TS0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_640_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_640_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R1_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R1_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR_DUMMY_END/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/EBR_DUMMY_END/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L2_VREF5/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L2_VREF5/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_EBR_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/GPLL_R0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/GPLL_R0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/T_DUMMY_ENDR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/T_DUMMY_ENDR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L3_VREF4/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L3_VREF4/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC0PIC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC0PIC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC1PIC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC1PIC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R1_DUMMY_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_R1_DUMMY_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_DUMMY_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L1_DUMMY_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC1PIC2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC1PIC2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CFG2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC1PIC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC1PIC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_T_CIB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER_T_CIB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDR/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDR/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER6/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER6/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_RS0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_RS0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END1_SP/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END1_SP/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END2_DLL45/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END2_DLL45/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L2_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L2_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR1_640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CIB_EBR1_640/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC3PIC/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC3PIC/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_BS0_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_BS0_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC2/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LLC2/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VIQ/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VIQ/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER3/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTER3/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L0_DUMMY_256/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/PIC_L0_DUMMY_256/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTERB/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/CENTERB/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/DQSDLL_R/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/DQSDLL_R/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC1/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/ULC1/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC0/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/tiledata/LRC0/bits.db\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-640/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-640/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-640/iodb.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-7000/\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-7000/tilegrid.json\n",
+ "oss-cad-suite/share/trellis/database/MachXO2/LCMXO2-7000/iodb.json\n",
+ "oss-cad-suite/share/glib-2.0/\n",
+ "oss-cad-suite/share/glib-2.0/schemas/\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.app-folders.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.system.locale.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.thumbnailers.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gtk.Settings.Debug.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.notifications.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.lockdown.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.system.proxy.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.system.location.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.interface.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.background.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/gschema.dtd\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.sound.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.a11y.keyboard.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.a11y.mouse.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.thumbnail-cache.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gtk.Settings.ColorChooser.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/10_gsettings-desktop-schemas.gschema.override\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.input-sources.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.privacy.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.wm.keybindings.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.calendar.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.media-handling.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gtk.Settings.FileChooser.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.a11y.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.a11y.applications.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gtk.Settings.EmojiChooser.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/gschemas.compiled\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.peripherals.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.datetime.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.default-applications.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.screensaver.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.search-providers.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.wm.preferences.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.a11y.magnifier.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.session.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.a11y.interface.gschema.xml\n",
+ "oss-cad-suite/share/glib-2.0/schemas/org.gnome.desktop.enums.xml\n",
+ "oss-cad-suite/share/yosys/\n",
+ "oss-cad-suite/share/yosys/mul2dsp.v\n",
+ "oss-cad-suite/share/yosys/simcells.v\n",
+ "oss-cad-suite/share/yosys/gatemate/\n",
+ "oss-cad-suite/share/yosys/gatemate/lut_tree_map.v\n",
+ "oss-cad-suite/share/yosys/gatemate/lut_map.v\n",
+ "oss-cad-suite/share/yosys/gatemate/brams_init_40.vh\n",
+ "oss-cad-suite/share/yosys/gatemate/inv_map.v\n",
+ "oss-cad-suite/share/yosys/gatemate/brams.txt\n",
+ "oss-cad-suite/share/yosys/gatemate/mul_map.v\n",
+ "oss-cad-suite/share/yosys/gatemate/lut_tree_cells.genlib\n",
+ "oss-cad-suite/share/yosys/gatemate/arith_map.v\n",
+ "oss-cad-suite/share/yosys/gatemate/mux_map.v\n",
+ "oss-cad-suite/share/yosys/gatemate/brams_map.v\n",
+ "oss-cad-suite/share/yosys/gatemate/cells_bb.v\n",
+ "oss-cad-suite/share/yosys/gatemate/reg_map.v\n",
+ "oss-cad-suite/share/yosys/gatemate/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/gatemate/brams_init_20.vh\n",
+ "oss-cad-suite/share/yosys/quicklogic/\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/dsp_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/ufifo_ctl.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/dsp_final_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/ffs_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/libmap_brams.txt\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/arith_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/sram1024x18_mem.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/brams_sim.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/brams_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/bram_types_sim.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/TDP18K_FIFO.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/dsp_sim.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/qlf_k6n10f/libmap_brams_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/common/\n",
+ "oss-cad-suite/share/yosys/quicklogic/common/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/abc9_model.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/lut_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/ffs_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/abc9_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/cells_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/latches_map.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/abc9_unmap.v\n",
+ "oss-cad-suite/share/yosys/quicklogic/pp3/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/cells.lib\n",
+ "oss-cad-suite/share/yosys/dff2ff.v\n",
+ "oss-cad-suite/share/yosys/abc9_model.v\n",
+ "oss-cad-suite/share/yosys/nexus/\n",
+ "oss-cad-suite/share/yosys/nexus/lrams_map.v\n",
+ "oss-cad-suite/share/yosys/nexus/dsp_map.v\n",
+ "oss-cad-suite/share/yosys/nexus/lutrams_map.v\n",
+ "oss-cad-suite/share/yosys/nexus/lrams.txt\n",
+ "oss-cad-suite/share/yosys/nexus/cells_xtra.v\n",
+ "oss-cad-suite/share/yosys/nexus/parse_init.vh\n",
+ "oss-cad-suite/share/yosys/nexus/brams.txt\n",
+ "oss-cad-suite/share/yosys/nexus/arith_map.v\n",
+ "oss-cad-suite/share/yosys/nexus/lutrams.txt\n",
+ "oss-cad-suite/share/yosys/nexus/cells_map.v\n",
+ "oss-cad-suite/share/yosys/nexus/brams_map.v\n",
+ "oss-cad-suite/share/yosys/nexus/latches_map.v\n",
+ "oss-cad-suite/share/yosys/nexus/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/gate2lut.v\n",
+ "oss-cad-suite/share/yosys/coolrunner2/\n",
+ "oss-cad-suite/share/yosys/coolrunner2/cells_counter_map.v\n",
+ "oss-cad-suite/share/yosys/coolrunner2/cells_latch.v\n",
+ "oss-cad-suite/share/yosys/coolrunner2/xc2_dff.lib\n",
+ "oss-cad-suite/share/yosys/coolrunner2/tff_extract.v\n",
+ "oss-cad-suite/share/yosys/coolrunner2/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/xilinx/\n",
+ "oss-cad-suite/share/yosys/xilinx/ff_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xc3sda_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xcv_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xc2v_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/xc6s_dsp_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/abc9_model.v\n",
+ "oss-cad-suite/share/yosys/xilinx/lut_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/lutrams_xcu.txt\n",
+ "oss-cad-suite/share/yosys/xilinx/urams.txt\n",
+ "oss-cad-suite/share/yosys/xilinx/lutrams_xc5v_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/cells_xtra.v\n",
+ "oss-cad-suite/share/yosys/xilinx/xc4v_dsp_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_defs.vh\n",
+ "oss-cad-suite/share/yosys/xilinx/urams_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/arith_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/lutrams_xcv_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xc3sda.txt\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xc2v.txt\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xc4v.txt\n",
+ "oss-cad-suite/share/yosys/xilinx/mux_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xc5v_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/lutrams_xcv.txt\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xc6v_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xc4v_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/cells_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/xcu_dsp_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xcv.txt\n",
+ "oss-cad-suite/share/yosys/xilinx/xc5v_dsp_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/xc3sda_dsp_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/brams_xcu_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/xc3s_mult_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/xc7_dsp_map.v\n",
+ "oss-cad-suite/share/yosys/xilinx/lutrams_xc5v.txt\n",
+ "oss-cad-suite/share/yosys/xilinx/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/anlogic/\n",
+ "oss-cad-suite/share/yosys/anlogic/lutrams_map.v\n",
+ "oss-cad-suite/share/yosys/anlogic/brams.txt\n",
+ "oss-cad-suite/share/yosys/anlogic/arith_map.v\n",
+ "oss-cad-suite/share/yosys/anlogic/lutrams.txt\n",
+ "oss-cad-suite/share/yosys/anlogic/cells_map.v\n",
+ "oss-cad-suite/share/yosys/anlogic/brams_map.v\n",
+ "oss-cad-suite/share/yosys/anlogic/eagle_bb.v\n",
+ "oss-cad-suite/share/yosys/anlogic/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/sf2/\n",
+ "oss-cad-suite/share/yosys/sf2/arith_map.v\n",
+ "oss-cad-suite/share/yosys/sf2/cells_map.v\n",
+ "oss-cad-suite/share/yosys/sf2/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/intel/\n",
+ "oss-cad-suite/share/yosys/intel/cycloneiv/\n",
+ "oss-cad-suite/share/yosys/intel/cycloneiv/cells_map.v\n",
+ "oss-cad-suite/share/yosys/intel/cycloneiv/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/intel/cycloneive/\n",
+ "oss-cad-suite/share/yosys/intel/cycloneive/cells_map.v\n",
+ "oss-cad-suite/share/yosys/intel/cycloneive/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/intel/common/\n",
+ "oss-cad-suite/share/yosys/intel/common/ff_map.v\n",
+ "oss-cad-suite/share/yosys/intel/common/m9k_bb.v\n",
+ "oss-cad-suite/share/yosys/intel/common/brams_map_m9k.v\n",
+ "oss-cad-suite/share/yosys/intel/common/altpll_bb.v\n",
+ "oss-cad-suite/share/yosys/intel/common/brams_m9k.txt\n",
+ "oss-cad-suite/share/yosys/intel/max10/\n",
+ "oss-cad-suite/share/yosys/intel/max10/cells_map.v\n",
+ "oss-cad-suite/share/yosys/intel/max10/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/intel/cyclone10lp/\n",
+ "oss-cad-suite/share/yosys/intel/cyclone10lp/cells_map.v\n",
+ "oss-cad-suite/share/yosys/intel/cyclone10lp/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/simlib.v\n",
+ "oss-cad-suite/share/yosys/cmp2lcu.v\n",
+ "oss-cad-suite/share/yosys/gowin/\n",
+ "oss-cad-suite/share/yosys/gowin/lutrams_map.v\n",
+ "oss-cad-suite/share/yosys/gowin/cells_xtra.v\n",
+ "oss-cad-suite/share/yosys/gowin/brams.txt\n",
+ "oss-cad-suite/share/yosys/gowin/arith_map.v\n",
+ "oss-cad-suite/share/yosys/gowin/lutrams.txt\n",
+ "oss-cad-suite/share/yosys/gowin/cells_map.v\n",
+ "oss-cad-suite/share/yosys/gowin/brams_map.v\n",
+ "oss-cad-suite/share/yosys/gowin/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/ice40/\n",
+ "oss-cad-suite/share/yosys/ice40/ff_map.v\n",
+ "oss-cad-suite/share/yosys/ice40/dsp_map.v\n",
+ "oss-cad-suite/share/yosys/ice40/abc9_model.v\n",
+ "oss-cad-suite/share/yosys/ice40/spram_map.v\n",
+ "oss-cad-suite/share/yosys/ice40/brams.txt\n",
+ "oss-cad-suite/share/yosys/ice40/arith_map.v\n",
+ "oss-cad-suite/share/yosys/ice40/spram.txt\n",
+ "oss-cad-suite/share/yosys/ice40/cells_map.v\n",
+ "oss-cad-suite/share/yosys/ice40/brams_map.v\n",
+ "oss-cad-suite/share/yosys/ice40/latches_map.v\n",
+ "oss-cad-suite/share/yosys/ice40/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/achronix/\n",
+ "oss-cad-suite/share/yosys/achronix/speedster22i/\n",
+ "oss-cad-suite/share/yosys/achronix/speedster22i/cells_map.v\n",
+ "oss-cad-suite/share/yosys/achronix/speedster22i/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/include/\n",
+ "oss-cad-suite/share/yosys/include/passes/\n",
+ "oss-cad-suite/share/yosys/include/passes/fsm/\n",
+ "oss-cad-suite/share/yosys/include/passes/fsm/fsmdata.h\n",
+ "oss-cad-suite/share/yosys/include/backends/\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h\n",
+ "oss-cad-suite/share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc\n",
+ "oss-cad-suite/share/yosys/include/backends/rtlil/\n",
+ "oss-cad-suite/share/yosys/include/backends/rtlil/rtlil_backend.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/\n",
+ "oss-cad-suite/share/yosys/include/kernel/ffmerge.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/ff.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/rtlil.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/sigtools.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/binding.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/constids.inc\n",
+ "oss-cad-suite/share/yosys/include/kernel/ffinit.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/cost.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/consteval.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/json.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/utils.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/fmt.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/cellaigs.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/fstdata.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/yosys.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/mem.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/timinginfo.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/qcsat.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/hashlib.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/macc.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/register.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/celledges.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/modtools.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/yosys_common.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/log.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/satgen.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/celltypes.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/scopeinfo.h\n",
+ "oss-cad-suite/share/yosys/include/kernel/yw.h\n",
+ "oss-cad-suite/share/yosys/include/frontends/\n",
+ "oss-cad-suite/share/yosys/include/frontends/ast/\n",
+ "oss-cad-suite/share/yosys/include/frontends/ast/ast_binding.h\n",
+ "oss-cad-suite/share/yosys/include/frontends/ast/ast.h\n",
+ "oss-cad-suite/share/yosys/include/frontends/blif/\n",
+ "oss-cad-suite/share/yosys/include/frontends/blif/blifparse.h\n",
+ "oss-cad-suite/share/yosys/include/libs/\n",
+ "oss-cad-suite/share/yosys/include/libs/fst/\n",
+ "oss-cad-suite/share/yosys/include/libs/fst/fstapi.h\n",
+ "oss-cad-suite/share/yosys/include/libs/sha1/\n",
+ "oss-cad-suite/share/yosys/include/libs/sha1/sha1.h\n",
+ "oss-cad-suite/share/yosys/include/libs/json11/\n",
+ "oss-cad-suite/share/yosys/include/libs/json11/json11.hpp\n",
+ "oss-cad-suite/share/yosys/include/libs/ezsat/\n",
+ "oss-cad-suite/share/yosys/include/libs/ezsat/ezsat.h\n",
+ "oss-cad-suite/share/yosys/include/libs/ezsat/ezminisat.h\n",
+ "oss-cad-suite/share/yosys/fabulous/\n",
+ "oss-cad-suite/share/yosys/fabulous/ff_map.v\n",
+ "oss-cad-suite/share/yosys/fabulous/regfile_map.v\n",
+ "oss-cad-suite/share/yosys/fabulous/io_map.v\n",
+ "oss-cad-suite/share/yosys/fabulous/arith_map.v\n",
+ "oss-cad-suite/share/yosys/fabulous/prims.v\n",
+ "oss-cad-suite/share/yosys/fabulous/cells_map.v\n",
+ "oss-cad-suite/share/yosys/fabulous/latches_map.v\n",
+ "oss-cad-suite/share/yosys/fabulous/ram_regfile.txt\n",
+ "oss-cad-suite/share/yosys/abc9_map.v\n",
+ "oss-cad-suite/share/yosys/efinix/\n",
+ "oss-cad-suite/share/yosys/efinix/brams.txt\n",
+ "oss-cad-suite/share/yosys/efinix/arith_map.v\n",
+ "oss-cad-suite/share/yosys/efinix/cells_map.v\n",
+ "oss-cad-suite/share/yosys/efinix/brams_map.v\n",
+ "oss-cad-suite/share/yosys/efinix/gbuf_map.v\n",
+ "oss-cad-suite/share/yosys/efinix/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/smtmap.v\n",
+ "oss-cad-suite/share/yosys/techmap.v\n",
+ "oss-cad-suite/share/yosys/plugins/\n",
+ "oss-cad-suite/share/yosys/plugins/eqy_combine.so\n",
+ "oss-cad-suite/share/yosys/plugins/eqy_partition.so\n",
+ "oss-cad-suite/share/yosys/plugins/eqy_recode.so\n",
+ "oss-cad-suite/share/yosys/plugins/ghdl.so\n",
+ "oss-cad-suite/share/yosys/lattice/\n",
+ "oss-cad-suite/share/yosys/lattice/arith_map_ccu2d.v\n",
+ "oss-cad-suite/share/yosys/lattice/brams_8kc.txt\n",
+ "oss-cad-suite/share/yosys/lattice/brams_map_8kc.v\n",
+ "oss-cad-suite/share/yosys/lattice/lutrams_map.v\n",
+ "oss-cad-suite/share/yosys/lattice/brams_16kd.txt\n",
+ "oss-cad-suite/share/yosys/lattice/cells_sim_ecp5.v\n",
+ "oss-cad-suite/share/yosys/lattice/cells_sim_xo3.v\n",
+ "oss-cad-suite/share/yosys/lattice/arith_map_ccu2c.v\n",
+ "oss-cad-suite/share/yosys/lattice/cells_bb_xo2.v\n",
+ "oss-cad-suite/share/yosys/lattice/cells_sim_xo2.v\n",
+ "oss-cad-suite/share/yosys/lattice/cells_bb_ecp5.v\n",
+ "oss-cad-suite/share/yosys/lattice/brams_map_16kd.v\n",
+ "oss-cad-suite/share/yosys/lattice/cells_ff.vh\n",
+ "oss-cad-suite/share/yosys/lattice/cells_io.vh\n",
+ "oss-cad-suite/share/yosys/lattice/cells_bb_xo3d.v\n",
+ "oss-cad-suite/share/yosys/lattice/lutrams.txt\n",
+ "oss-cad-suite/share/yosys/lattice/common_sim.vh\n",
+ "oss-cad-suite/share/yosys/lattice/cells_map.v\n",
+ "oss-cad-suite/share/yosys/lattice/latches_map.v\n",
+ "oss-cad-suite/share/yosys/lattice/cells_sim_xo3d.v\n",
+ "oss-cad-suite/share/yosys/lattice/dsp_map_18x18.v\n",
+ "oss-cad-suite/share/yosys/lattice/ccu2c_sim.vh\n",
+ "oss-cad-suite/share/yosys/lattice/ccu2d_sim.vh\n",
+ "oss-cad-suite/share/yosys/lattice/cells_bb_xo3.v\n",
+ "oss-cad-suite/share/yosys/greenpak4/\n",
+ "oss-cad-suite/share/yosys/greenpak4/cells_latch.v\n",
+ "oss-cad-suite/share/yosys/greenpak4/cells_sim_ams.v\n",
+ "oss-cad-suite/share/yosys/greenpak4/cells_map.v\n",
+ "oss-cad-suite/share/yosys/greenpak4/cells_blackbox.v\n",
+ "oss-cad-suite/share/yosys/greenpak4/cells_sim_digital.v\n",
+ "oss-cad-suite/share/yosys/greenpak4/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/greenpak4/cells_sim_wip.v\n",
+ "oss-cad-suite/share/yosys/greenpak4/gp_dff.lib\n",
+ "oss-cad-suite/share/yosys/choices/\n",
+ "oss-cad-suite/share/yosys/choices/kogge-stone.v\n",
+ "oss-cad-suite/share/yosys/cmp2softlogic.v\n",
+ "oss-cad-suite/share/yosys/python3/\n",
+ "oss-cad-suite/share/yosys/python3/sby_engine_btor.py\n",
+ "oss-cad-suite/share/yosys/python3/smtio.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_status.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_mode_cover.py\n",
+ "oss-cad-suite/share/yosys/python3/ywio.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_engine_abc.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_design.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_sim.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_cmdline.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_mode_prove.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_engine_smtbmc.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_core.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_autotune.py\n",
+ "oss-cad-suite/share/yosys/python3/eqy_job.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_mode_live.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_mode_bmc.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_engine_aiger.py\n",
+ "oss-cad-suite/share/yosys/python3/sby_jobserver.py\n",
+ "oss-cad-suite/share/yosys/cmp2lut.v\n",
+ "oss-cad-suite/share/yosys/abc9_unmap.v\n",
+ "oss-cad-suite/share/yosys/pmux2mux.v\n",
+ "oss-cad-suite/share/yosys/ecp5/\n",
+ "oss-cad-suite/share/yosys/ecp5/dsp_map.v\n",
+ "oss-cad-suite/share/yosys/ecp5/lutrams_map.v\n",
+ "oss-cad-suite/share/yosys/ecp5/cells_ff.vh\n",
+ "oss-cad-suite/share/yosys/ecp5/cells_io.vh\n",
+ "oss-cad-suite/share/yosys/ecp5/brams.txt\n",
+ "oss-cad-suite/share/yosys/ecp5/arith_map.v\n",
+ "oss-cad-suite/share/yosys/ecp5/lutrams.txt\n",
+ "oss-cad-suite/share/yosys/ecp5/cells_map.v\n",
+ "oss-cad-suite/share/yosys/ecp5/brams_map.v\n",
+ "oss-cad-suite/share/yosys/ecp5/latches_map.v\n",
+ "oss-cad-suite/share/yosys/ecp5/cells_bb.v\n",
+ "oss-cad-suite/share/yosys/ecp5/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/adff2dff.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/\n",
+ "oss-cad-suite/share/yosys/intel_alm/cyclonev/\n",
+ "oss-cad-suite/share/yosys/intel_alm/cyclonev/cells_sim.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/dsp_map.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/abc9_model.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/bram_m10k_map.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/lutram_mlab.txt\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/alm_map.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/alm_sim.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/abc9_map.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/arith_alm_map.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/bram_m10k.txt\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/mem_sim.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/megafunction_bb.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/dff_sim.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/dff_map.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/abc9_unmap.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/misc_sim.v\n",
+ "oss-cad-suite/share/yosys/intel_alm/common/dsp_sim.v\n",
+ "oss-cad-suite/share/fonts/\n",
+ "oss-cad-suite/share/fonts/truetype/\n",
+ "oss-cad-suite/share/fonts/truetype/dejavu/\n",
+ "oss-cad-suite/share/fonts/truetype/dejavu/DejaVuSans-Bold.ttf\n",
+ "oss-cad-suite/share/fonts/truetype/dejavu/DejaVuSans.ttf\n",
+ "oss-cad-suite/share/fonts/truetype/dejavu/DejaVuSerif-Bold.ttf\n",
+ "oss-cad-suite/share/fonts/truetype/dejavu/.uuid\n",
+ "oss-cad-suite/share/fonts/truetype/dejavu/DejaVuSansMono-Bold.ttf\n",
+ "oss-cad-suite/share/fonts/truetype/dejavu/DejaVuSerif.ttf\n",
+ "oss-cad-suite/share/fonts/truetype/dejavu/DejaVuSansMono.ttf\n",
+ "oss-cad-suite/share/fonts/truetype/.uuid\n",
+ "oss-cad-suite/share/fonts/.uuid\n",
+ "oss-cad-suite/share/applications/\n",
+ "oss-cad-suite/share/applications/gtkwave.desktop\n",
+ "oss-cad-suite/share/icebox/\n",
+ "oss-cad-suite/share/icebox/timings_lp384.txt\n",
+ "oss-cad-suite/share/icebox/timings_up5k.txt\n",
+ "oss-cad-suite/share/icebox/chipdb-8k.txt\n",
+ "oss-cad-suite/share/icebox/chipdb-lm4k.txt\n",
+ "oss-cad-suite/share/icebox/timings_lp1k.txt\n",
+ "oss-cad-suite/share/icebox/timings_lp8k.txt\n",
+ "oss-cad-suite/share/icebox/timings_hx8k.txt\n",
+ "oss-cad-suite/share/icebox/chipdb-u4k.txt\n",
+ "oss-cad-suite/share/icebox/timings_hx1k.txt\n",
+ "oss-cad-suite/share/icebox/timings_u4k.txt\n",
+ "oss-cad-suite/share/icebox/chipdb-1k.txt\n",
+ "oss-cad-suite/share/icebox/chipdb-5k.txt\n",
+ "oss-cad-suite/share/icebox/chipdb-384.txt\n",
+ "oss-cad-suite/share/pkgconfig/\n",
+ "oss-cad-suite/share/pkgconfig/verilator.pc\n",
+ "oss-cad-suite/share/cvc4/\n",
+ "oss-cad-suite/share/cvc4/drat.plf\n",
+ "oss-cad-suite/share/cvc4/th_real.plf\n",
+ "oss-cad-suite/share/cvc4/th_lira.plf\n",
+ "oss-cad-suite/share/cvc4/th_bv_bitblast.plf\n",
+ "oss-cad-suite/share/cvc4/th_arrays.plf\n",
+ "oss-cad-suite/share/cvc4/th_bv_rewrites.plf\n",
+ "oss-cad-suite/share/cvc4/lrat.plf\n",
+ "oss-cad-suite/share/cvc4/er.plf\n",
+ "oss-cad-suite/share/cvc4/th_bv.plf\n",
+ "oss-cad-suite/share/cvc4/th_int.plf\n",
+ "oss-cad-suite/share/cvc4/sat.plf\n",
+ "oss-cad-suite/share/cvc4/th_base.plf\n",
+ "oss-cad-suite/share/cvc4/smt.plf\n",
+ "oss-cad-suite/examples/\n",
+ "oss-cad-suite/examples/puzzles/\n",
+ "oss-cad-suite/examples/puzzles/primegen.sby\n",
+ "oss-cad-suite/examples/puzzles/wolf_goat_cabbage.sby\n",
+ "oss-cad-suite/examples/puzzles/pour_853_to_4.sby\n",
+ "oss-cad-suite/examples/puzzles/pour_853_to_4.sv\n",
+ "oss-cad-suite/examples/puzzles/djb2hash.sby\n",
+ "oss-cad-suite/examples/puzzles/primegen.sv\n",
+ "oss-cad-suite/examples/puzzles/wolf_goat_cabbage.sv\n",
+ "oss-cad-suite/examples/puzzles/djb2hash.sv\n",
+ "oss-cad-suite/examples/eqy/\n",
+ "oss-cad-suite/examples/eqy/nerv/\n",
+ "oss-cad-suite/examples/eqy/nerv/nerv_change_fail.eqy\n",
+ "oss-cad-suite/examples/eqy/nerv/README.md\n",
+ "oss-cad-suite/examples/eqy/nerv/nerv_synth.v\n",
+ "oss-cad-suite/examples/eqy/nerv/nerv_synth.eqy\n",
+ "oss-cad-suite/examples/eqy/nerv/Makefile\n",
+ "oss-cad-suite/examples/eqy/nerv/nerv_change.sv\n",
+ "oss-cad-suite/examples/eqy/nerv/nerv_synth.ys\n",
+ "oss-cad-suite/examples/eqy/nerv/.gitignore\n",
+ "oss-cad-suite/examples/eqy/nerv/nerv.sv\n",
+ "oss-cad-suite/examples/eqy/nerv/nerv_change_pass.eqy\n",
+ "oss-cad-suite/examples/eqy/picorv32/\n",
+ "oss-cad-suite/examples/eqy/picorv32/picorv32_vivado.eqy\n",
+ "oss-cad-suite/examples/eqy/picorv32/picorv32_vivado.tcl\n",
+ "oss-cad-suite/examples/eqy/picorv32/picorv32.v\n",
+ "oss-cad-suite/examples/eqy/picorv32/vcdmatch.py\n",
+ "oss-cad-suite/examples/eqy/picorv32/picorv32_modified.eqy\n",
+ "oss-cad-suite/examples/eqy/picorv32/README.md\n",
+ "oss-cad-suite/examples/eqy/picorv32/picorv32_modified.v\n",
+ "oss-cad-suite/examples/eqy/picorv32/tryamend.sh\n",
+ "oss-cad-suite/examples/eqy/picorv32/init.v\n",
+ "oss-cad-suite/examples/eqy/picorv32/testbench.v\n",
+ "oss-cad-suite/examples/eqy/picorv32/Makefile\n",
+ "oss-cad-suite/examples/eqy/picorv32/picorv32_vivado.log\n",
+ "oss-cad-suite/examples/eqy/picorv32/.gitignore\n",
+ "oss-cad-suite/examples/eqy/picorv32/firmware.hex\n",
+ "oss-cad-suite/examples/eqy/picorv32/firmware.patch\n",
+ "oss-cad-suite/examples/eqy/picorv32/picorv32_vivado.v\n",
+ "oss-cad-suite/examples/eqy/simple/\n",
+ "oss-cad-suite/examples/eqy/simple/aliases.sv\n",
+ "oss-cad-suite/examples/eqy/simple/combine.sv\n",
+ "oss-cad-suite/examples/eqy/simple/aliases.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/fsm.sv\n",
+ "oss-cad-suite/examples/eqy/simple/counter.sv\n",
+ "oss-cad-suite/examples/eqy/simple/hierarchy.sv\n",
+ "oss-cad-suite/examples/eqy/simple/ex_amend.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/Makefile\n",
+ "oss-cad-suite/examples/eqy/simple/.gitignore\n",
+ "oss-cad-suite/examples/eqy/simple/ex_bind.sv\n",
+ "oss-cad-suite/examples/eqy/simple/ex_join.sv\n",
+ "oss-cad-suite/examples/eqy/simple/fsm.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/ex_group.sv\n",
+ "oss-cad-suite/examples/eqy/simple/hierarchy.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/submodules.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/ex_group.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/ex_join.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/combine.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/submodules.sv\n",
+ "oss-cad-suite/examples/eqy/simple/counter.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/ex_bind.eqy\n",
+ "oss-cad-suite/examples/eqy/simple/ex_amend.sv\n",
+ "oss-cad-suite/examples/eqy/risc16f84/\n",
+ "oss-cad-suite/examples/eqy/risc16f84/risc16f84-in.v\n",
+ "oss-cad-suite/examples/eqy/risc16f84/PIC16F84-T300.pdf\n",
+ "oss-cad-suite/examples/eqy/risc16f84/README.md\n",
+ "oss-cad-suite/examples/eqy/risc16f84/Makefile\n",
+ "oss-cad-suite/examples/eqy/risc16f84/risc16f84-th-free.v\n",
+ "oss-cad-suite/examples/eqy/risc16f84/risc16f84-th-in.v\n",
+ "oss-cad-suite/examples/eqy/risc16f84/risc16f84-free.v\n",
+ "oss-cad-suite/examples/eqy/risc16f84/.gitignore\n",
+ "oss-cad-suite/examples/eqy/risc16f84/risc16f84.eqy\n",
+ "oss-cad-suite/examples/eqy/spm/\n",
+ "oss-cad-suite/examples/eqy/spm/sky130_fd_sc_hd.v\n",
+ "oss-cad-suite/examples/eqy/spm/primitives.v\n",
+ "oss-cad-suite/examples/eqy/spm/README.md\n",
+ "oss-cad-suite/examples/eqy/spm/formal_pdk_proc.py\n",
+ "oss-cad-suite/examples/eqy/spm/spm.eqy\n",
+ "oss-cad-suite/examples/eqy/spm/spm.v\n",
+ "oss-cad-suite/examples/eqy/spm/Makefile\n",
+ "oss-cad-suite/examples/eqy/spm/.gitignore\n",
+ "oss-cad-suite/examples/eqy/spm/spm.nl.v\n",
+ "oss-cad-suite/examples/tristate/\n",
+ "oss-cad-suite/examples/tristate/README.md\n",
+ "oss-cad-suite/examples/tristate/tristate.sby\n",
+ "oss-cad-suite/examples/tristate/tristates.v\n",
+ "oss-cad-suite/examples/demos/\n",
+ "oss-cad-suite/examples/demos/fib.sv\n",
+ "oss-cad-suite/examples/demos/memory.sby\n",
+ "oss-cad-suite/examples/demos/picorv32_axicheck.sby\n",
+ "oss-cad-suite/examples/demos/up_down_counter.sby\n",
+ "oss-cad-suite/examples/demos/fib.sby\n",
+ "oss-cad-suite/examples/dft/\n",
+ "oss-cad-suite/examples/dft/data_diode.sby\n",
+ "oss-cad-suite/examples/dft/data_diode.sv\n",
+ "oss-cad-suite/examples/mcy_demo/\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/test_eq.sv\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/test_fm.sby\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/test_eq.sh\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/bitcnt_tb.v\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/test_fm.sh\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/config.mcy\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/test_fm.sv\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/bitcnt.v\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/test_eq.sby\n",
+ "oss-cad-suite/examples/mcy_demo/bitcnt/test_sim.sh\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/eq_sim3.sh\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/picorv32.v\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/sim_simple.hex\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/miter.sv\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/config.mcy\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/eq_sim3.sby\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/eq_bmc.sh\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/sim_simple.v\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/sim_simple.sh\n",
+ "oss-cad-suite/examples/mcy_demo/picorv32_primes/eq_bmc.sby\n",
+ "oss-cad-suite/examples/fifo/\n",
+ "oss-cad-suite/examples/fifo/golden/\n",
+ "oss-cad-suite/examples/fifo/golden/fifo.sby\n",
+ "oss-cad-suite/examples/fifo/golden/fifo.sv\n",
+ "oss-cad-suite/examples/fifo/fifo_extra_tests.sby\n",
+ "oss-cad-suite/examples/fifo/fifo.sby\n",
+ "oss-cad-suite/examples/fifo/noskip.gtkw\n",
+ "oss-cad-suite/examples/fifo/fifo.sv\n",
+ "oss-cad-suite/examples/multiclk/\n",
+ "oss-cad-suite/examples/multiclk/dpmem.sv\n",
+ "oss-cad-suite/examples/multiclk/dpmem.sby\n",
+ "oss-cad-suite/examples/abstract/\n",
+ "oss-cad-suite/examples/abstract/props.sv\n",
+ "oss-cad-suite/examples/abstract/README.md\n",
+ "oss-cad-suite/examples/abstract/abstr.sv\n",
+ "oss-cad-suite/examples/abstract/demo.v\n",
+ "oss-cad-suite/examples/abstract/props.sby\n",
+ "oss-cad-suite/examples/abstract/abstr.sby\n",
+ "oss-cad-suite/examples/quickstart/\n",
+ "oss-cad-suite/examples/quickstart/cover.sby\n",
+ "oss-cad-suite/examples/quickstart/memory.sby\n",
+ "oss-cad-suite/examples/quickstart/memory.sv\n",
+ "oss-cad-suite/examples/quickstart/prove.sby\n",
+ "oss-cad-suite/examples/quickstart/prove.sv\n",
+ "oss-cad-suite/examples/quickstart/demo.sby\n",
+ "oss-cad-suite/examples/quickstart/cover.sv\n",
+ "oss-cad-suite/examples/quickstart/demo.sv\n",
+ "oss-cad-suite/examples/indinv/\n",
+ "oss-cad-suite/examples/indinv/README.md\n",
+ "oss-cad-suite/examples/indinv/prove_p23.sby\n",
+ "oss-cad-suite/examples/indinv/prove_p0.sby\n",
+ "oss-cad-suite/examples/indinv/example.sv\n",
+ "oss-cad-suite/examples/indinv/example.py\n",
+ "oss-cad-suite/examples/autotune/\n",
+ "oss-cad-suite/examples/autotune/divider.sby\n",
+ "oss-cad-suite/examples/autotune/divider.sv\n",
+ "oss-cad-suite/examples/autotune/README.md\n",
+ "--2024-12-05 19:17:18-- https://github.com/Precision-Innovations/OpenROAD/releases/download/2024-08-05/openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb\n",
+ "Resolving github.com (github.com)... 140.82.112.4\n",
+ "Connecting to github.com (github.com)|140.82.112.4|:443... connected.\n",
+ "HTTP request sent, awaiting response... 302 Found\n",
+ "Location: https://objects.githubusercontent.com/github-production-release-asset-2e65be/603108552/b63a153e-1e8f-4c19-8eb5-8332dcdac9eb?X-Amz-Algorithm=AWS4-HMAC-SHA256&X-Amz-Credential=releaseassetproduction%2F20241205%2Fus-east-1%2Fs3%2Faws4_request&X-Amz-Date=20241205T191718Z&X-Amz-Expires=300&X-Amz-Signature=775bcb1be6ebdaf364f38c30ea552bd306f6615e053c409064ce19271882ac85&X-Amz-SignedHeaders=host&response-content-disposition=attachment%3B%20filename%3Dopenroad_2.0_amd64-ubuntu20.04-2024-08-05.deb&response-content-type=application%2Foctet-stream [following]\n",
+ "--2024-12-05 19:17:18-- https://objects.githubusercontent.com/github-production-release-asset-2e65be/603108552/b63a153e-1e8f-4c19-8eb5-8332dcdac9eb?X-Amz-Algorithm=AWS4-HMAC-SHA256&X-Amz-Credential=releaseassetproduction%2F20241205%2Fus-east-1%2Fs3%2Faws4_request&X-Amz-Date=20241205T191718Z&X-Amz-Expires=300&X-Amz-Signature=775bcb1be6ebdaf364f38c30ea552bd306f6615e053c409064ce19271882ac85&X-Amz-SignedHeaders=host&response-content-disposition=attachment%3B%20filename%3Dopenroad_2.0_amd64-ubuntu20.04-2024-08-05.deb&response-content-type=application%2Foctet-stream\n",
+ "Resolving objects.githubusercontent.com (objects.githubusercontent.com)... 185.199.111.133, 185.199.108.133, 185.199.109.133, ...\n",
+ "Connecting to objects.githubusercontent.com (objects.githubusercontent.com)|185.199.111.133|:443... connected.\n",
+ "HTTP request sent, awaiting response... 200 OK\n",
+ "Length: 47324864 (45M) [application/octet-stream]\n",
+ "Saving to: ‘openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb’\n",
+ "\n",
+ "openroad_2.0_amd64- 100%[===================>] 45.13M 74.5MB/s in 0.6s \n",
+ "\n",
+ "2024-12-05 19:17:19 (74.5 MB/s) - ‘openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb’ saved [47324864/47324864]\n",
+ "\n",
+ "Reading package lists... Done\n",
+ "Building dependency tree... Done\n",
+ "Reading state information... Done\n",
+ "Note, selecting 'openroad' instead of './openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb'\n",
+ "The following packages were automatically installed and are no longer required:\n",
+ " libbz2-dev libpkgconf3 libreadline-dev\n",
+ "Use 'sudo apt autoremove' to remove them.\n",
+ "The following additional packages will be installed:\n",
+ " libpython3.8 libpython3.8-minimal libpython3.8-stdlib mailcap mime-support\n",
+ " tcl-tclreadline\n",
+ "The following NEW packages will be installed:\n",
+ " libpython3.8 libpython3.8-minimal libpython3.8-stdlib mailcap mime-support\n",
+ " openroad tcl-tclreadline\n",
+ "0 upgraded, 7 newly installed, 0 to remove and 48 not upgraded.\n",
+ "Need to get 4,489 kB/51.8 MB of archives.\n",
+ "After this operation, 244 MB of additional disk space will be used.\n",
+ "Get:1 http://archive.ubuntu.com/ubuntu jammy/main amd64 mailcap all 3.70+nmu1ubuntu1 [23.8 kB]\n",
+ "Get:2 http://archive.ubuntu.com/ubuntu jammy/main amd64 mime-support all 3.66 [3,696 B]\n",
+ "Get:3 http://archive.ubuntu.com/ubuntu jammy/universe amd64 tcl-tclreadline amd64 2.3.8-1 [50.8 kB]\n",
+ "Get:4 /content/openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb openroad amd64 2.0 [47.3 MB]\n",
+ "Get:5 https://ppa.launchpadcontent.net/deadsnakes/ppa/ubuntu jammy/main amd64 libpython3.8-minimal amd64 3.8.20-1+jammy1 [796 kB]\n",
+ "Get:6 https://ppa.launchpadcontent.net/deadsnakes/ppa/ubuntu jammy/main amd64 libpython3.8-stdlib amd64 3.8.20-1+jammy1 [1,817 kB]\n",
+ "Get:7 https://ppa.launchpadcontent.net/deadsnakes/ppa/ubuntu jammy/main amd64 libpython3.8 amd64 3.8.20-1+jammy1 [1,798 kB]\n",
+ "Fetched 4,489 kB in 3s (1,445 kB/s)\n",
+ "debconf: unable to initialize frontend: Dialog\n",
+ "debconf: (No usable dialog-like program is installed, so the dialog based frontend cannot be used. at /usr/share/perl5/Debconf/FrontEnd/Dialog.pm line 78, <> line 7.)\n",
+ "debconf: falling back to frontend: Readline\n",
+ "debconf: unable to initialize frontend: Readline\n",
+ "debconf: (This frontend requires a controlling tty.)\n",
+ "debconf: falling back to frontend: Teletype\n",
+ "dpkg-preconfigure: unable to re-open stdin: \n",
+ "Selecting previously unselected package libpython3.8-minimal:amd64.\n",
+ "(Reading database ... 134987 files and directories currently installed.)\n",
+ "Preparing to unpack .../0-libpython3.8-minimal_3.8.20-1+jammy1_amd64.deb ...\n",
+ "Unpacking libpython3.8-minimal:amd64 (3.8.20-1+jammy1) ...\n",
+ "Selecting previously unselected package mailcap.\n",
+ "Preparing to unpack .../1-mailcap_3.70+nmu1ubuntu1_all.deb ...\n",
+ "Unpacking mailcap (3.70+nmu1ubuntu1) ...\n",
+ "Selecting previously unselected package mime-support.\n",
+ "Preparing to unpack .../2-mime-support_3.66_all.deb ...\n",
+ "Unpacking mime-support (3.66) ...\n",
+ "Selecting previously unselected package libpython3.8-stdlib:amd64.\n",
+ "Preparing to unpack .../3-libpython3.8-stdlib_3.8.20-1+jammy1_amd64.deb ...\n",
+ "Unpacking libpython3.8-stdlib:amd64 (3.8.20-1+jammy1) ...\n",
+ "Selecting previously unselected package libpython3.8:amd64.\n",
+ "Preparing to unpack .../4-libpython3.8_3.8.20-1+jammy1_amd64.deb ...\n",
+ "Unpacking libpython3.8:amd64 (3.8.20-1+jammy1) ...\n",
+ "Selecting previously unselected package tcl-tclreadline:amd64.\n",
+ "Preparing to unpack .../5-tcl-tclreadline_2.3.8-1_amd64.deb ...\n",
+ "Unpacking tcl-tclreadline:amd64 (2.3.8-1) ...\n",
+ "Selecting previously unselected package openroad.\n",
+ "Preparing to unpack .../6-openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb ...\n",
+ "Unpacking openroad (2.0) ...\n",
+ "Setting up libpython3.8-minimal:amd64 (3.8.20-1+jammy1) ...\n",
+ "Setting up tcl-tclreadline:amd64 (2.3.8-1) ...\n",
+ "Setting up mailcap (3.70+nmu1ubuntu1) ...\n",
+ "Setting up mime-support (3.66) ...\n",
+ "Setting up libpython3.8-stdlib:amd64 (3.8.20-1+jammy1) ...\n",
+ "Setting up libpython3.8:amd64 (3.8.20-1+jammy1) ...\n",
+ "Setting up openroad (2.0) ...\n",
+ "Processing triggers for man-db (2.10.2-1) ...\n",
+ "Processing triggers for libc-bin (2.35-0ubuntu3.4) ...\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbmalloc.so.2 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtcm.so.1 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_adapter_opencl.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_adapter_level_zero.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbmalloc_proxy.so.2 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind_2_0.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbb.so.12 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libhwloc.so.15 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtcm_debug.so.1 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind_2_5.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_loader.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libumf.so.0 is not a symbolic link\n",
+ "\n",
+ "--2024-12-05 19:17:34-- https://www.klayout.org/downloads/Ubuntu-22/klayout_0.29.0-1_amd64.deb\n",
+ "Resolving www.klayout.org (www.klayout.org)... 178.77.102.107\n",
+ "Connecting to www.klayout.org (www.klayout.org)|178.77.102.107|:443... connected.\n",
+ "HTTP request sent, awaiting response... 200 OK\n",
+ "Length: 61990030 (59M) [application/x-debian-package]\n",
+ "Saving to: ‘klayout_0.29.0-1_amd64.deb’\n",
+ "\n",
+ "klayout_0.29.0-1_am 100%[===================>] 59.12M 15.9MB/s in 3.7s \n",
+ "\n",
+ "2024-12-05 19:17:38 (15.9 MB/s) - ‘klayout_0.29.0-1_amd64.deb’ saved [61990030/61990030]\n",
+ "\n",
+ "Reading package lists... Done\n",
+ "Building dependency tree... Done\n",
+ "Reading state information... Done\n",
+ "Note, selecting 'klayout' instead of './klayout_0.29.0-1_amd64.deb'\n",
+ "The following packages were automatically installed and are no longer required:\n",
+ " libbz2-dev libpkgconf3 libreadline-dev\n",
+ "Use 'sudo apt autoremove' to remove them.\n",
+ "The following additional packages will be installed:\n",
+ " libqt5opengl5\n",
+ "The following NEW packages will be installed:\n",
+ " libqt5opengl5\n",
+ "The following packages will be upgraded:\n",
+ " klayout\n",
+ "1 upgraded, 1 newly installed, 0 to remove and 48 not upgraded.\n",
+ "Need to get 153 kB/62.1 MB of archives.\n",
+ "After this operation, 52.3 MB of additional disk space will be used.\n",
+ "Get:1 http://archive.ubuntu.com/ubuntu jammy-updates/universe amd64 libqt5opengl5 amd64 5.15.3+dfsg-2ubuntu0.2 [153 kB]\n",
+ "Get:2 /content/klayout_0.29.0-1_amd64.deb klayout amd64 0.29.0-1 [62.0 MB]\n",
+ "Fetched 153 kB in 1s (262 kB/s)\n",
+ "debconf: unable to initialize frontend: Dialog\n",
+ "debconf: (No usable dialog-like program is installed, so the dialog based frontend cannot be used. at /usr/share/perl5/Debconf/FrontEnd/Dialog.pm line 78, <> line 2.)\n",
+ "debconf: falling back to frontend: Readline\n",
+ "debconf: unable to initialize frontend: Readline\n",
+ "debconf: (This frontend requires a controlling tty.)\n",
+ "debconf: falling back to frontend: Teletype\n",
+ "dpkg-preconfigure: unable to re-open stdin: \n",
+ "Selecting previously unselected package libqt5opengl5:amd64.\n",
+ "(Reading database ... 138986 files and directories currently installed.)\n",
+ "Preparing to unpack .../libqt5opengl5_5.15.3+dfsg-2ubuntu0.2_amd64.deb ...\n",
+ "Unpacking libqt5opengl5:amd64 (5.15.3+dfsg-2ubuntu0.2) ...\n",
+ "Preparing to unpack .../klayout_0.29.0-1_amd64.deb ...\n",
+ "Unpacking klayout (0.29.0-1) over (0.26.2-3build3) ...\n",
+ "Setting up libqt5opengl5:amd64 (5.15.3+dfsg-2ubuntu0.2) ...\n",
+ "Setting up klayout (0.29.0-1) ...\n",
+ "Processing triggers for man-db (2.10.2-1) ...\n",
+ "Processing triggers for mailcap (3.70+nmu1ubuntu1) ...\n",
+ "Processing triggers for libc-bin (2.35-0ubuntu3.4) ...\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbmalloc.so.2 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtcm.so.1 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_adapter_opencl.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_adapter_level_zero.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbmalloc_proxy.so.2 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind_2_0.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbb.so.12 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libhwloc.so.15 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtcm_debug.so.1 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind_2_5.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_loader.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libumf.so.0 is not a symbolic link\n",
+ "\n",
+ "Cloning into 'OpenROAD-flow-scripts'...\n",
+ "remote: Enumerating objects: 52365, done.\u001b[K\n",
+ "remote: Counting objects: 100% (166/166), done.\u001b[K\n",
+ "remote: Compressing objects: 100% (92/92), done.\u001b[K\n",
+ "remote: Total 52365 (delta 97), reused 130 (delta 74), pack-reused 52199 (from 1)\u001b[K\n",
+ "Receiving objects: 100% (52365/52365), 841.85 MiB | 24.94 MiB/s, done.\n",
+ "Resolving deltas: 100% (38774/38774), done.\n",
+ "Updating files: 100% (2328/2328), done.\n",
+ "Note: switching to 'd617deb35b6823c03846bacfefbd838f49cff437'.\n",
+ "\n",
+ "You are in 'detached HEAD' state. You can look around, make experimental\n",
+ "changes and commit them, and you can discard any commits you make in this\n",
+ "state without impacting any branches by switching back to a branch.\n",
+ "\n",
+ "If you want to create a new branch to retain commits you create, you may\n",
+ "do so (now or later) by using -c with the switch command. Example:\n",
+ "\n",
+ " git switch -c \n",
+ "\n",
+ "Or undo this operation with:\n",
+ "\n",
+ " git switch -\n",
+ "\n",
+ "Turn off this advice by setting config variable advice.detachedHead to false\n",
+ "\n",
+ "HEAD is now at d617deb3 [Autotuner] Installation/ Sanity checks (#2025)\n",
+ "Cloning into 'IHP-Open-PDK'...\n",
+ "remote: Enumerating objects: 10010, done.\u001b[K\n",
+ "remote: Counting objects: 100% (2309/2309), done.\u001b[K\n",
+ "remote: Compressing objects: 100% (893/893), done.\u001b[K\n",
+ "remote: Total 10010 (delta 1583), reused 1764 (delta 1400), pack-reused 7701 (from 1)\u001b[K\n",
+ "Receiving objects: 100% (10010/10010), 344.85 MiB | 21.22 MiB/s, done.\n",
+ "Resolving deltas: 100% (5463/5463), done.\n",
+ "Updating files: 100% (4325/4325), done.\n",
+ "Note: switching to '4c6508d'.\n",
+ "\n",
+ "You are in 'detached HEAD' state. You can look around, make experimental\n",
+ "changes and commit them, and you can discard any commits you make in this\n",
+ "state without impacting any branches by switching back to a branch.\n",
+ "\n",
+ "If you want to create a new branch to retain commits you create, you may\n",
+ "do so (now or later) by using -c with the switch command. Example:\n",
+ "\n",
+ " git switch -c \n",
+ "\n",
+ "Or undo this operation with:\n",
+ "\n",
+ " git switch -\n",
+ "\n",
+ "Turn off this advice by setting config variable advice.detachedHead to false\n",
+ "\n",
+ "HEAD is now at 4c6508d Merge pull request #260 from KrzysztofHerman/main\n"
+ ]
+ }
+ ],
+ "source": [
+ "!sudo apt-get update && apt-get install -y \\\n",
+ " sudo \\\n",
+ " apt-utils \\\n",
+ " software-properties-common \\\n",
+ " ssh \\\n",
+ " git \\\n",
+ " curl \\\n",
+ " time \\\n",
+ " libtool-bin \\\n",
+ " autotools-dev \\\n",
+ " automake \\\n",
+ " pkg-config \\\n",
+ " libyaml-dev \\\n",
+ " libssl-dev \\\n",
+ " gdb \\\n",
+ " ninja-build \\\n",
+ " flex \\\n",
+ " bison \\\n",
+ " libfl-dev \\\n",
+ " cmake \\\n",
+ " libftdi1-dev \\\n",
+ " python3.10 \\\n",
+ " python3.10-dev \\\n",
+ " python3-pip \\\n",
+ " libpython3.10 \\\n",
+ " virtualenv \\\n",
+ " openjdk-11-jdk-headless \\\n",
+ " verilator \\\n",
+ " gtkwave \\\n",
+ " libcanberra-gtk-module \\\n",
+ " libcanberra-gtk3-module \\\n",
+ " libtinfo5 \\\n",
+ " libncurses5 \\\n",
+ " klayout\n",
+ "\n",
+ "#Yosys\n",
+ "!wget https://github.com/YosysHQ/oss-cad-suite-build/releases/download/2024-07-17/oss-cad-suite-linux-x64-20240717.tgz && \\\n",
+ " tar -xvf oss-cad-suite-linux-x64-20240717.tgz && \\\n",
+ " rm oss-cad-suite-linux-x64-20240717.tgz\n",
+ "\n",
+ "#Install Openroad\n",
+ "!wget https://github.com/Precision-Innovations/OpenROAD/releases/download/2024-08-05/openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb && \\\n",
+ " sudo apt install -y ./openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb && \\\n",
+ " rm openroad_2.0_amd64-ubuntu20.04-2024-08-05.deb\n",
+ "#Install Klayout\n",
+ "!wget https://www.klayout.org/downloads/Ubuntu-22/klayout_0.29.0-1_amd64.deb && \\\n",
+ " sudo apt install -y ./klayout_0.29.0-1_amd64.deb && \\\n",
+ " rm klayout_0.29.0-1_amd64.deb\n",
+ "#Install OpenRoad-Flow-Scripts\n",
+ "!git clone https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts.git &&\\\n",
+ " cd OpenROAD-flow-scripts && \\\n",
+ " git checkout d617deb35b6823c03846bacfefbd838f49cff437\n",
+ "\n",
+ "#Install IHP PDK\n",
+ "!git clone --progress https://github.com/IHP-GmbH/IHP-Open-PDK.git && \\\n",
+ " cd IHP-Open-PDK && \\\n",
+ " git checkout 4c6508d\n"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "ix5YX4EN9UEa",
+ "outputId": "79ca5f79-898d-428d-bfda-0cbff7ef909d"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Cloning into 'pypreprocessor'...\n",
+ "remote: Enumerating objects: 450, done.\u001b[K\n",
+ "remote: Counting objects: 7% (1/14)\u001b[K\rremote: Counting objects: 14% (2/14)\u001b[K\rremote: Counting objects: 21% (3/14)\u001b[K\rremote: Counting objects: 28% (4/14)\u001b[K\rremote: Counting objects: 35% (5/14)\u001b[K\rremote: Counting objects: 42% (6/14)\u001b[K\rremote: Counting objects: 50% (7/14)\u001b[K\rremote: Counting objects: 57% (8/14)\u001b[K\rremote: Counting objects: 64% (9/14)\u001b[K\rremote: Counting objects: 71% (10/14)\u001b[K\rremote: Counting objects: 78% (11/14)\u001b[K\rremote: Counting objects: 85% (12/14)\u001b[K\rremote: Counting objects: 92% (13/14)\u001b[K\rremote: Counting objects: 100% (14/14)\u001b[K\rremote: Counting objects: 100% (14/14), done.\u001b[K\n",
+ "remote: Compressing objects: 11% (1/9)\u001b[K\rremote: Compressing objects: 22% (2/9)\u001b[K\rremote: Compressing objects: 33% (3/9)\u001b[K\rremote: Compressing objects: 44% (4/9)\u001b[K\rremote: Compressing objects: 55% (5/9)\u001b[K\rremote: Compressing objects: 66% (6/9)\u001b[K\rremote: Compressing objects: 77% (7/9)\u001b[K\rremote: Compressing objects: 88% (8/9)\u001b[K\rremote: Compressing objects: 100% (9/9)\u001b[K\rremote: Compressing objects: 100% (9/9), done.\u001b[K\n",
+ "Receiving objects: 0% (1/450)\rReceiving objects: 1% (5/450)\rReceiving objects: 2% (9/450)\rReceiving objects: 3% (14/450)\rReceiving objects: 4% (18/450)\rReceiving objects: 5% (23/450)\rReceiving objects: 6% (27/450)\rReceiving objects: 7% (32/450)\rReceiving objects: 8% (36/450)\rReceiving objects: 9% (41/450)\rReceiving objects: 10% (45/450)\rReceiving objects: 11% (50/450)\rReceiving objects: 12% (54/450)\rReceiving objects: 13% (59/450)\rReceiving objects: 14% (63/450)\rReceiving objects: 15% (68/450)\rReceiving objects: 16% (72/450)\rReceiving objects: 17% (77/450)\rReceiving objects: 18% (81/450)\rReceiving objects: 19% (86/450)\rReceiving objects: 20% (90/450)\rReceiving objects: 21% (95/450)\rReceiving objects: 22% (99/450)\rReceiving objects: 23% (104/450)\rReceiving objects: 24% (108/450)\rReceiving objects: 25% (113/450)\rReceiving objects: 26% (117/450)\rReceiving objects: 27% (122/450)\rremote: Total 450 (delta 4), reused 8 (delta 2), pack-reused 436 (from 1)\u001b[K\n",
+ "Receiving objects: 28% (126/450)\rReceiving objects: 29% (131/450)\rReceiving objects: 30% (135/450)\rReceiving objects: 31% (140/450)\rReceiving objects: 32% (144/450)\rReceiving objects: 33% (149/450)\rReceiving objects: 34% (153/450)\rReceiving objects: 35% (158/450)\rReceiving objects: 36% (162/450)\rReceiving objects: 37% (167/450)\rReceiving objects: 38% (171/450)\rReceiving objects: 39% (176/450)\rReceiving objects: 40% (180/450)\rReceiving objects: 41% (185/450)\rReceiving objects: 42% (189/450)\rReceiving objects: 43% (194/450)\rReceiving objects: 44% (198/450)\rReceiving objects: 45% (203/450)\rReceiving objects: 46% (207/450)\rReceiving objects: 47% (212/450)\rReceiving objects: 48% (216/450)\rReceiving objects: 49% (221/450)\rReceiving objects: 50% (225/450)\rReceiving objects: 51% (230/450)\rReceiving objects: 52% (234/450)\rReceiving objects: 53% (239/450)\rReceiving objects: 54% (243/450)\rReceiving objects: 55% (248/450)\rReceiving objects: 56% (252/450)\rReceiving objects: 57% (257/450)\rReceiving objects: 58% (261/450)\rReceiving objects: 59% (266/450)\rReceiving objects: 60% (270/450)\rReceiving objects: 61% (275/450)\rReceiving objects: 62% (279/450)\rReceiving objects: 63% (284/450)\rReceiving objects: 64% (288/450)\rReceiving objects: 65% (293/450)\rReceiving objects: 66% (297/450)\rReceiving objects: 67% (302/450)\rReceiving objects: 68% (306/450)\rReceiving objects: 69% (311/450)\rReceiving objects: 70% (315/450)\rReceiving objects: 71% (320/450)\rReceiving objects: 72% (324/450)\rReceiving objects: 73% (329/450)\rReceiving objects: 74% (333/450)\rReceiving objects: 75% (338/450)\rReceiving objects: 76% (342/450)\rReceiving objects: 77% (347/450)\rReceiving objects: 78% (351/450)\rReceiving objects: 79% (356/450)\rReceiving objects: 80% (360/450)\rReceiving objects: 81% (365/450)\rReceiving objects: 82% (369/450)\rReceiving objects: 83% (374/450)\rReceiving objects: 84% (378/450)\rReceiving objects: 85% (383/450)\rReceiving objects: 86% (387/450)\rReceiving objects: 87% (392/450)\rReceiving objects: 88% (396/450)\rReceiving objects: 89% (401/450)\rReceiving objects: 90% (405/450)\rReceiving objects: 91% (410/450)\rReceiving objects: 92% (414/450)\rReceiving objects: 93% (419/450)\rReceiving objects: 94% (423/450)\rReceiving objects: 95% (428/450)\rReceiving objects: 96% (432/450)\rReceiving objects: 97% (437/450)\rReceiving objects: 98% (441/450)\rReceiving objects: 99% (446/450)\rReceiving objects: 100% (450/450)\rReceiving objects: 100% (450/450), 90.82 KiB | 8.26 MiB/s, done.\n",
+ "Resolving deltas: 100% (214/214), done.\n",
+ "Cloning into 'pycell4klayout-api'...\n",
+ "remote: Enumerating objects: 59, done.\u001b[K\n",
+ "remote: Counting objects: 100% (59/59), done.\u001b[K\n",
+ "remote: Compressing objects: 100% (30/30), done.\u001b[K\n",
+ "remote: Total 59 (delta 25), reused 53 (delta 22), pack-reused 0 (from 0)\u001b[K\n",
+ "Receiving objects: 100% (59/59), 404.97 KiB | 19.28 MiB/s, done.\n",
+ "Resolving deltas: 100% (25/25), done.\n"
+ ]
+ }
+ ],
+ "source": [
+ "!cd /content/IHP-Open-PDK/ihp-sg13g2/libs.tech/klayout/python && git clone https://github.com/IHP-GmbH/pypreprocessor.git\n",
+ "!cd /content/IHP-Open-PDK/ihp-sg13g2/libs.tech/klayout/python && git clone https://github.com/IHP-GmbH/pycell4klayout-api.git"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "-O6RkG-mewxY"
+ },
+ "source": [
+ "##4.2) Getting source files from github\n",
+ "\n",
+ "The verilog, system verilog and config files for the OpenROAD flow scripts can be found in the github repository for [MARTIn](https://github.com/nickguimara/MARTIn_ISSCS.git)"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "8GVsoF44QPMW",
+ "outputId": "c05046d3-6d84-43d9-8a1d-51f77091a148"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Cloning into 'MARTIn_ISSCS'...\n",
+ "remote: Enumerating objects: 55, done.\u001b[K\n",
+ "remote: Counting objects: 1% (1/55)\u001b[K\rremote: Counting objects: 3% (2/55)\u001b[K\rremote: Counting objects: 5% (3/55)\u001b[K\rremote: Counting objects: 7% (4/55)\u001b[K\rremote: Counting objects: 9% (5/55)\u001b[K\rremote: Counting objects: 10% (6/55)\u001b[K\rremote: Counting objects: 12% (7/55)\u001b[K\rremote: Counting objects: 14% (8/55)\u001b[K\rremote: Counting objects: 16% (9/55)\u001b[K\rremote: Counting objects: 18% (10/55)\u001b[K\rremote: Counting objects: 20% (11/55)\u001b[K\rremote: Counting objects: 21% (12/55)\u001b[K\rremote: Counting objects: 23% (13/55)\u001b[K\rremote: Counting objects: 25% (14/55)\u001b[K\rremote: Counting objects: 27% (15/55)\u001b[K\rremote: Counting objects: 29% (16/55)\u001b[K\rremote: Counting objects: 30% (17/55)\u001b[K\rremote: Counting objects: 32% (18/55)\u001b[K\rremote: Counting objects: 34% (19/55)\u001b[K\rremote: Counting objects: 36% (20/55)\u001b[K\rremote: Counting objects: 38% (21/55)\u001b[K\rremote: Counting objects: 40% (22/55)\u001b[K\rremote: Counting objects: 41% (23/55)\u001b[K\rremote: Counting objects: 43% (24/55)\u001b[K\rremote: Counting objects: 45% (25/55)\u001b[K\rremote: Counting objects: 47% (26/55)\u001b[K\rremote: Counting objects: 49% (27/55)\u001b[K\rremote: Counting objects: 50% (28/55)\u001b[K\rremote: Counting objects: 52% (29/55)\u001b[K\rremote: Counting objects: 54% (30/55)\u001b[K\rremote: Counting objects: 56% (31/55)\u001b[K\rremote: Counting objects: 58% (32/55)\u001b[K\rremote: Counting objects: 60% (33/55)\u001b[K\rremote: Counting objects: 61% (34/55)\u001b[K\rremote: Counting objects: 63% (35/55)\u001b[K\rremote: Counting objects: 65% (36/55)\u001b[K\rremote: Counting objects: 67% (37/55)\u001b[K\rremote: Counting objects: 69% (38/55)\u001b[K\rremote: Counting objects: 70% (39/55)\u001b[K\rremote: Counting objects: 72% (40/55)\u001b[K\rremote: Counting objects: 74% (41/55)\u001b[K\rremote: Counting objects: 76% (42/55)\u001b[K\rremote: Counting objects: 78% (43/55)\u001b[K\rremote: Counting objects: 80% (44/55)\u001b[K\rremote: Counting objects: 81% (45/55)\u001b[K\rremote: Counting objects: 83% (46/55)\u001b[K\rremote: Counting objects: 85% (47/55)\u001b[K\rremote: Counting objects: 87% (48/55)\u001b[K\rremote: Counting objects: 89% (49/55)\u001b[K\rremote: Counting objects: 90% (50/55)\u001b[K\rremote: Counting objects: 92% (51/55)\u001b[K\rremote: Counting objects: 94% (52/55)\u001b[K\rremote: Counting objects: 96% (53/55)\u001b[K\rremote: Counting objects: 98% (54/55)\u001b[K\rremote: Counting objects: 100% (55/55)\u001b[K\rremote: Counting objects: 100% (55/55), done.\u001b[K\n",
+ "remote: Compressing objects: 2% (1/38)\u001b[K\rremote: Compressing objects: 5% (2/38)\u001b[K\rremote: Compressing objects: 7% (3/38)\u001b[K\rremote: Compressing objects: 10% (4/38)\u001b[K\rremote: Compressing objects: 13% (5/38)\u001b[K\rremote: Compressing objects: 15% (6/38)\u001b[K\rremote: Compressing objects: 18% (7/38)\u001b[K\rremote: Compressing objects: 21% (8/38)\u001b[K\rremote: Compressing objects: 23% (9/38)\u001b[K\rremote: Compressing objects: 26% (10/38)\u001b[K\rremote: Compressing objects: 28% (11/38)\u001b[K\rremote: Compressing objects: 31% (12/38)\u001b[K\rremote: Compressing objects: 34% (13/38)\u001b[K\rremote: Compressing objects: 36% (14/38)\u001b[K\rremote: Compressing objects: 39% (15/38)\u001b[K\rremote: Compressing objects: 42% (16/38)\u001b[K\rremote: Compressing objects: 44% (17/38)\u001b[K\rremote: Compressing objects: 47% (18/38)\u001b[K\rremote: Compressing objects: 50% (19/38)\u001b[K\rremote: Compressing objects: 52% (20/38)\u001b[K\rremote: Compressing objects: 55% (21/38)\u001b[K\rremote: Compressing objects: 57% (22/38)\u001b[K\rremote: Compressing objects: 60% (23/38)\u001b[K\rremote: Compressing objects: 63% (24/38)\u001b[K\rremote: Compressing objects: 65% (25/38)\u001b[K\rremote: Compressing objects: 68% (26/38)\u001b[K\rremote: Compressing objects: 71% (27/38)\u001b[K\rremote: Compressing objects: 73% (28/38)\u001b[K\rremote: Compressing objects: 76% (29/38)\u001b[K\rremote: Compressing objects: 78% (30/38)\u001b[K\rremote: Compressing objects: 81% (31/38)\u001b[K\rremote: Compressing objects: 84% (32/38)\u001b[K\rremote: Compressing objects: 86% (33/38)\u001b[K\rremote: Compressing objects: 89% (34/38)\u001b[K\rremote: Compressing objects: 92% (35/38)\u001b[K\rremote: Compressing objects: 94% (36/38)\u001b[K\rremote: Compressing objects: 97% (37/38)\u001b[K\rremote: Compressing objects: 100% (38/38)\u001b[K\rremote: Compressing objects: 100% (38/38), done.\u001b[K\n",
+ "remote: Total 55 (delta 16), reused 48 (delta 12), pack-reused 0 (from 0)\u001b[K\n",
+ "Receiving objects: 1% (1/55)\rReceiving objects: 3% (2/55)\rReceiving objects: 5% (3/55)\rReceiving objects: 7% (4/55)\rReceiving objects: 9% (5/55)\rReceiving objects: 10% (6/55)\rReceiving objects: 12% (7/55)\rReceiving objects: 14% (8/55)\rReceiving objects: 16% (9/55)\rReceiving objects: 18% (10/55)\rReceiving objects: 20% (11/55)\rReceiving objects: 21% (12/55)\rReceiving objects: 23% (13/55)\rReceiving objects: 25% (14/55)\rReceiving objects: 27% (15/55)\rReceiving objects: 29% (16/55)\rReceiving objects: 30% (17/55)\rReceiving objects: 32% (18/55)\rReceiving objects: 34% (19/55)\rReceiving objects: 36% (20/55)\rReceiving objects: 38% (21/55)\rReceiving objects: 40% (22/55)\rReceiving objects: 41% (23/55)\rReceiving objects: 43% (24/55)\rReceiving objects: 45% (25/55)\rReceiving objects: 47% (26/55)\rReceiving objects: 49% (27/55)\rReceiving objects: 50% (28/55)\rReceiving objects: 52% (29/55)\rReceiving objects: 54% (30/55)\rReceiving objects: 56% (31/55)\rReceiving objects: 58% (32/55)\rReceiving objects: 60% (33/55)\rReceiving objects: 61% (34/55)\rReceiving objects: 63% (35/55)\rReceiving objects: 65% (36/55)\rReceiving objects: 67% (37/55)\rReceiving objects: 69% (38/55)\rReceiving objects: 70% (39/55)\rReceiving objects: 72% (40/55)\rReceiving objects: 74% (41/55)\rReceiving objects: 76% (42/55)\rReceiving objects: 78% (43/55)\rReceiving objects: 80% (44/55)\rReceiving objects: 81% (45/55)\rReceiving objects: 83% (46/55)\rReceiving objects: 85% (47/55)\rReceiving objects: 87% (48/55)\rReceiving objects: 89% (49/55)\rReceiving objects: 90% (50/55)\rReceiving objects: 92% (51/55)\rReceiving objects: 94% (52/55)\rReceiving objects: 96% (53/55)\rReceiving objects: 98% (54/55)\rReceiving objects: 100% (55/55)\rReceiving objects: 100% (55/55), 17.27 KiB | 8.63 MiB/s, done.\n",
+ "Resolving deltas: 0% (0/16)\rResolving deltas: 6% (1/16)\rResolving deltas: 12% (2/16)\rResolving deltas: 18% (3/16)\rResolving deltas: 25% (4/16)\rResolving deltas: 31% (5/16)\rResolving deltas: 37% (6/16)\rResolving deltas: 43% (7/16)\rResolving deltas: 50% (8/16)\rResolving deltas: 56% (9/16)\rResolving deltas: 62% (10/16)\rResolving deltas: 68% (11/16)\rResolving deltas: 75% (12/16)\rResolving deltas: 81% (13/16)\rResolving deltas: 87% (14/16)\rResolving deltas: 93% (15/16)\rResolving deltas: 100% (16/16)\rResolving deltas: 100% (16/16), done.\n"
+ ]
+ }
+ ],
+ "source": [
+ "!git clone https://github.com/nickguimara/MARTIn_ISSCS.git\n",
+ "!mv ./MARTIn_ISSCS ./MARTIn"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "T-ymMQGle4ct"
+ },
+ "source": [
+ "##4.3) Converting System Verilog source files to Verilog\n",
+ "\n",
+ "As the synthesys tool (Yosys) utilized by the Openroad flow do not support System Verilog design files, they need to be converted to verilog files. To accomplish that, the [sv2v](https://github.com/zachjs/sv2v.git) tool is utilized."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "r6cAK3DTfDiZ",
+ "outputId": "109c4b6d-f1ca-4b72-86b1-cdeecc911485"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Detected Linux distribution: ubuntu\n",
+ "\n",
+ "Installing dependencies...\n",
+ "\n",
+ "\n",
+ "About to use 'sudo' to run the following command as root:\n",
+ " apt-get install -y libgmp-dev netbase\n",
+ "in order to install required system dependencies.\n",
+ "\n",
+ "Reading package lists... Done\n",
+ "Building dependency tree... Done\n",
+ "Reading state information... Done\n",
+ "The following packages were automatically installed and are no longer required:\n",
+ " libbz2-dev libpkgconf3 libreadline-dev\n",
+ "Use 'sudo apt autoremove' to remove them.\n",
+ "The following additional packages will be installed:\n",
+ " libgmpxx4ldbl\n",
+ "Suggested packages:\n",
+ " gmp-doc libgmp10-doc libmpfr-dev\n",
+ "The following NEW packages will be installed:\n",
+ " libgmp-dev libgmpxx4ldbl netbase\n",
+ "0 upgraded, 3 newly installed, 0 to remove and 48 not upgraded.\n",
+ "Need to get 359 kB of archives.\n",
+ "After this operation, 1,744 kB of additional disk space will be used.\n",
+ "Get:1 http://archive.ubuntu.com/ubuntu jammy/main amd64 netbase all 6.3 [12.9 kB]\n",
+ "Get:2 http://archive.ubuntu.com/ubuntu jammy/main amd64 libgmpxx4ldbl amd64 2:6.2.1+dfsg-3ubuntu1 [9,580 B]\n",
+ "Get:3 http://archive.ubuntu.com/ubuntu jammy/main amd64 libgmp-dev amd64 2:6.2.1+dfsg-3ubuntu1 [337 kB]\n",
+ "Fetched 359 kB in 1s (635 kB/s)\n",
+ "debconf: unable to initialize frontend: Dialog\n",
+ "debconf: (No usable dialog-like program is installed, so the dialog based frontend cannot be used. at /usr/share/perl5/Debconf/FrontEnd/Dialog.pm line 78, <> line 3.)\n",
+ "debconf: falling back to frontend: Readline\n",
+ "debconf: unable to initialize frontend: Readline\n",
+ "debconf: (This frontend requires a controlling tty.)\n",
+ "debconf: falling back to frontend: Teletype\n",
+ "dpkg-preconfigure: unable to re-open stdin: \n",
+ "Selecting previously unselected package netbase.\n",
+ "(Reading database ... 139030 files and directories currently installed.)\n",
+ "Preparing to unpack .../archives/netbase_6.3_all.deb ...\n",
+ "Unpacking netbase (6.3) ...\n",
+ "Selecting previously unselected package libgmpxx4ldbl:amd64.\n",
+ "Preparing to unpack .../libgmpxx4ldbl_2%3a6.2.1+dfsg-3ubuntu1_amd64.deb ...\n",
+ "Unpacking libgmpxx4ldbl:amd64 (2:6.2.1+dfsg-3ubuntu1) ...\n",
+ "Selecting previously unselected package libgmp-dev:amd64.\n",
+ "Preparing to unpack .../libgmp-dev_2%3a6.2.1+dfsg-3ubuntu1_amd64.deb ...\n",
+ "Unpacking libgmp-dev:amd64 (2:6.2.1+dfsg-3ubuntu1) ...\n",
+ "Setting up libgmpxx4ldbl:amd64 (2:6.2.1+dfsg-3ubuntu1) ...\n",
+ "Setting up netbase (6.3) ...\n",
+ "Setting up libgmp-dev:amd64 (2:6.2.1+dfsg-3ubuntu1) ...\n",
+ "Processing triggers for libc-bin (2.35-0ubuntu3.4) ...\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbmalloc.so.2 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtcm.so.1 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_adapter_opencl.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_adapter_level_zero.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbmalloc_proxy.so.2 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind_2_0.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbb.so.12 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libhwloc.so.15 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtcm_debug.so.1 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libtbbbind_2_5.so.3 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libur_loader.so.0 is not a symbolic link\n",
+ "\n",
+ "/sbin/ldconfig.real: /usr/local/lib/libumf.so.0 is not a symbolic link\n",
+ "\n",
+ "\n",
+ "Using generic bindist...\n",
+ "\n",
+ " % Total % Received % Xferd Average Speed Time Time Time Current\n",
+ " Dload Upload Total Spent Left Speed\n",
+ "100 114 100 114 0 0 1083 0 --:--:-- --:--:-- --:--:-- 1085\n",
+ " 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0\n",
+ "100 20.7M 100 20.7M 0 0 33.6M 0 --:--:-- --:--:-- --:--:-- 33.6M\n",
+ "Installing Stack to: /usr/local/bin/stack...\n",
+ "\n",
+ "-------------------------------------------------------------------------------\n",
+ "\n",
+ "Stack has been installed to: /usr/local/bin/stack\n",
+ "\n",
+ "WARNING: '/root/.local/bin' is not on your PATH.\n",
+ " Stack will place the binaries it builds in '/root/.local/bin' so\n",
+ " for best results, please add it to the beginning of PATH in your profile.\n",
+ "\n",
+ " You can do this by running the following command:\n",
+ " echo 'export PATH=\"/root/.local/bin:$PATH\"' >> \"/root/.bashrc\"\n",
+ " (You may need to restart your shell for this to take effect.)\n",
+ "\n",
+ "Would you like this installer to add it to your PATH in '/root/.bashrc'?\n",
+ " (This will be done by adding export PATH=\"/root/.local/bin:$PATH\" to it.\n",
+ " You may need to restart your shell for this to take effect.)\n",
+ " [y] Yes, prepend [n] No (default)\n",
+ "Not updating PATH in '/root/.bashrc'\n",
+ "Cloning into 'sv2v'...\n",
+ "remote: Enumerating objects: 10225, done.\u001b[K\n",
+ "remote: Counting objects: 100% (1206/1206), done.\u001b[K\n",
+ "remote: Compressing objects: 100% (290/290), done.\u001b[K\n",
+ "remote: Total 10225 (delta 988), reused 1066 (delta 905), pack-reused 9019 (from 1)\u001b[K\n",
+ "Receiving objects: 100% (10225/10225), 1.99 MiB | 18.57 MiB/s, done.\n",
+ "Resolving deltas: 100% (6741/6741), done.\n",
+ "mkdir -p bin\n",
+ "stack install --install-ghc --local-bin-path bin\n",
+ "\u001b[0mPreparing to install GHC (tinfo6) to an\n",
+ "isolated location. This will not\n",
+ "interfere with any system-level\n",
+ "installation.\u001b[0m\n",
+ "Preparing to download ghc-tinfo6-9.6.4 ...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bghc-tinfo6-9.6.4: download has begun\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bghc-tinfo6-9.6.4: 52.87 MiB / 199.14 MiB ( 26.55%) downloaded...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bghc-tinfo6-9.6.4: 88.17 MiB / 199.14 MiB ( 44.28%) downloaded...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bghc-tinfo6-9.6.4: 128.09 MiB / 199.14 MiB ( 64.32%) downloaded...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bghc-tinfo6-9.6.4: 167.39 MiB / 199.14 MiB ( 84.06%) downloaded...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bghc-tinfo6-9.6.4: 199.14 MiB / 199.14 MiB (100.00%) downloaded...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mDownloaded ghc-tinfo6-9.6.4.\u001b[0m\n",
+ "Unpacking GHC into /root/.stack/programs/x86_64-linux/ghc-tinfo6-9.6.4.temp/ ...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bConfiguring GHC ...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m/root/.stack/programs/x86_64-linux/ghc-tinfo6-9.6.4.temp/ghc-9.6.4-x86_64-unknown-linux/configure: line 6559: FP_PROG_LD_BUILD_ID: command not found\u001b[0m\n",
+ "Configuring GHC ...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mar: conftest.a: No such file or directory\u001b[0m\n",
+ "Configuring GHC ...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bInstalling GHC ...\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mInstalled GHC.\u001b[0m\n",
+ "[1 of 3] Compiling Main ( /root/.stack/setup-exe-src/setup-DY68M0FN.hs, /root/.stack/setup-exe-src/setup-DY68M0FN.o )\n",
+ "[2 of 3] Compiling StackSetupShim ( /root/.stack/setup-exe-src/setup-shim-DY68M0FN.hs, /root/.stack/setup-exe-src/setup-shim-DY68M0FN.o )\n",
+ "[3 of 3] Linking /root/.stack/setup-exe-cache/x86_64-linux-tinfo6/tmp-Cabal-simple_DY68M0FN_3.10.1.0_ghc-9.6.4\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > configure\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > Configuring alex-3.4.0.1...\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > configure\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > Configuring cmdargs-0.10.22...\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > Preprocessing executable 'alex' for alex-3.4.0.1..\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > Building executable 'alex' for alex-3.4.0.1..\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > Preprocessing library for cmdargs-0.10.22..\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > Building library for cmdargs-0.10.22..\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 1 of 25] Compiling Data.Generics.Any\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 1 of 19] Compiling DFS\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 2 of 19] Compiling Data.Ranged.Boundaries\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 2 of 25] Compiling Data.Generics.Any.Prelude\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 3 of 19] Compiling Data.Ranged.Ranges\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 3 of 25] Compiling System.Console.CmdArgs.Annotate\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 4 of 19] Compiling Data.Ranged.RangedSet\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 5 of 19] Compiling Data.Ranged\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 6 of 19] Compiling Paths_alex\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 7 of 19] Compiling UTF8\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 4 of 25] Compiling System.Console.CmdArgs.Default\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 8 of 19] Compiling CharSet\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 5 of 25] Compiling System.Console.CmdArgs.Explicit.ExpandArgsAt\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 6 of 25] Compiling System.Console.CmdArgs.Explicit.SplitJoin\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [ 9 of 19] Compiling Util\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 7 of 25] Compiling System.Console.CmdArgs.Explicit.Type\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [10 of 19] Compiling AbsSyn\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 8 of 25] Compiling System.Console.CmdArgs.Explicit.Process\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [ 9 of 25] Compiling System.Console.CmdArgs.Explicit.Complete\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [11 of 19] Compiling ParseMonad\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [12 of 19] Compiling Scan\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [10 of 25] Compiling System.Console.CmdArgs.Helper\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [13 of 19] Compiling Parser\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [11 of 25] Compiling System.Console.CmdArgs.Implicit.Ann\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [14 of 19] Compiling Output\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [12 of 25] Compiling System.Console.CmdArgs.Implicit.UI\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [13 of 25] Compiling System.Console.CmdArgs.Text\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [14 of 25] Compiling System.Console.CmdArgs.Explicit.Help\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [15 of 25] Compiling System.Console.CmdArgs.Verbosity\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [15 of 19] Compiling NFA\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [16 of 25] Compiling System.Console.CmdArgs.Implicit.Type\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [16 of 19] Compiling Info\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [17 of 19] Compiling DFAMin\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [18 of 19] Compiling DFA\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [17 of 25] Compiling System.Console.CmdArgs.Explicit\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [18 of 25] Compiling System.Console.CmdArgs.Implicit.Reader\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [19 of 19] Compiling Main\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [19 of 25] Compiling System.Console.CmdArgs.Implicit.Local\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > [20 of 20] Linking .stack-work/dist/x86_64-linux-tinfo6/ghc-9.6.4/build/alex/alex\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [20 of 25] Compiling System.Console.CmdArgs.Implicit.Reform\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [21 of 25] Compiling System.Console.CmdArgs.Implicit.Global\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > copy/register\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0malex > Installing executable alex in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/bin\u001b[0m\n",
+ "Progress 0/11\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\bProgress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > configure\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > Configuring happy-1.20.1.1...\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [22 of 25] Compiling System.Console.CmdArgs.Implicit\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [23 of 25] Compiling System.Console.CmdArgs.Quote\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > Preprocessing executable 'happy' for happy-1.20.1.1..\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > Building executable 'happy' for happy-1.20.1.1..\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [24 of 25] Compiling System.Console.CmdArgs\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > [25 of 25] Compiling System.Console.CmdArgs.GetOpt\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 1 of 19] Compiling AbsSyn\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 2 of 19] Compiling GenUtils\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 3 of 19] Compiling NameSet\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 4 of 19] Compiling ParamRules\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > copy/register\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > Installing library in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/lib/x86_64-linux-ghc-9.6.4/cmdargs-0.10.22-83WnG7g72QcDcTY9CeATsx\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mcmdargs > Registering library for cmdargs-0.10.22..\u001b[0m\n",
+ "Progress 1/11: cmdargs, happy\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 5 of 19] Compiling ParseMonad\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 6 of 19] Compiling Lexer\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > configure\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > Configuring os-string-2.0.2.1...\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > Preprocessing library for os-string-2.0.2.1..\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > Building library for os-string-2.0.2.1..\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 1 of 10] Compiling System.OsString.Data.ByteString.Short.Internal\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 7 of 19] Compiling AttrGrammar\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 8 of 19] Compiling AttrGrammarParser\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [ 9 of 19] Compiling Grammar\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 2 of 10] Compiling System.OsString.Data.ByteString.Short\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 3 of 10] Compiling System.OsString.Data.ByteString.Short.Word16\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 4 of 10] Compiling System.OsString.Encoding.Internal\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [10 of 19] Compiling LALR\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 5 of 10] Compiling System.OsString.Encoding\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 6 of 10] Compiling System.OsString.Internal.Types\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 7 of 10] Compiling System.OsString.Posix\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [11 of 19] Compiling First\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [12 of 19] Compiling Parser\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 8 of 10] Compiling System.OsString.Internal\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [ 9 of 10] Compiling System.OsString\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > [10 of 10] Compiling System.OsString.Windows\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [13 of 19] Compiling Paths_happy\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [14 of 19] Compiling Info\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > copy/register\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > Installing library in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/lib/x86_64-linux-ghc-9.6.4/os-string-2.0.2.1-8k52QlvXPqfCJ3eD4SARs9\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mos-string > Registering library for os-string-2.0.2.1..\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [15 of 19] Compiling PrettyGrammar\u001b[0m\n",
+ "Progress 2/11: happy, os-string\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [16 of 19] Compiling ProduceGLRCode\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > configure\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > Configuring hashable-1.4.4.0...\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > Preprocessing library for hashable-1.4.4.0..\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > Building library for hashable-1.4.4.0..\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > [1 of 7] Compiling Data.Hashable.Imports\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > [2 of 7] Compiling Data.Hashable.LowLevel\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > [3 of 7] Compiling Data.Hashable.Class\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [17 of 19] Compiling Target\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [18 of 19] Compiling ProduceCode\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > [4 of 7] Compiling Data.Hashable.Lifted\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > [5 of 7] Compiling Data.Hashable.Generic.Instances\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > \u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > /tmp/stack-9afc3d46279d22d8/hashable-1.4.4.0/\u001b[;1msrc/Data/Hashable/Generic/Instances.hs:5:14: \u001b[;1m\u001b[35mwarning\u001b[0m\u001b[0m\u001b[;1m: [\u001b[;1m\u001b[35mGHC-19244\u001b[0m\u001b[0m\u001b[;1m] [\u001b[;1m\u001b[35m-Wtrustworthy-safe\u001b[0m\u001b[0m\u001b[;1m]\u001b[0m\u001b[0m\u001b[;1m\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > ‘Data.Hashable.Generic.Instances’ is marked as Trustworthy but has been inferred as safe!\u001b[0m\u001b[0m\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > \u001b[;1m\u001b[34m |\u001b[0m\u001b[0m\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > \u001b[;1m\u001b[34m5 |\u001b[0m\u001b[0m {-# LANGUAGE \u001b[;1m\u001b[35mTrustworthy\u001b[0m\u001b[0m #-}\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > \u001b[;1m\u001b[34m |\u001b[0m\u001b[0m\u001b[;1m\u001b[35m ^^^^^^^^^^^\u001b[0m\u001b[0m\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > [6 of 7] Compiling Data.Hashable.Generic\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > [7 of 7] Compiling Data.Hashable\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > copy/register\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > Installing library in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/lib/x86_64-linux-ghc-9.6.4/hashable-1.4.4.0-EZoLNlZiNJm3boq9RT1yB3\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhashable > Registering library for hashable-1.4.4.0..\u001b[0m\n",
+ "Progress 3/11: happy, hashable\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > configure\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > Configuring primitive-0.8.0.0...\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > Preprocessing library for primitive-0.8.0.0..\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > Building library for primitive-0.8.0.0..\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [19 of 19] Compiling Main\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 1 of 13] Compiling Control.Monad.Primitive\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 2 of 13] Compiling Data.Primitive.Array\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > [20 of 20] Linking .stack-work/dist/x86_64-linux-tinfo6/ghc-9.6.4/build/happy/happy\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > copy/register\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mhappy > Installing executable happy in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/bin\u001b[0m\n",
+ "Progress 4/11: happy, primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > configure\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > Configuring th-compat-0.1.5...\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > Preprocessing library for th-compat-0.1.5..\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > Building library for th-compat-0.1.5..\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > [1 of 1] Compiling Language.Haskell.TH.Syntax.Compat\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 3 of 13] Compiling Data.Primitive.MVar\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 4 of 13] Compiling Data.Primitive.MachDeps\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 5 of 13] Compiling Data.Primitive.Internal.Operations\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 6 of 13] Compiling Data.Primitive.MutVar\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 7 of 13] Compiling Data.Primitive.SmallArray\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > copy/register\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > Installing library in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/lib/x86_64-linux-ghc-9.6.4/th-compat-0.1.5-LGtoLDd7I804DJ9KGnvdGq\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mth-compat > Registering library for th-compat-0.1.5..\u001b[0m\n",
+ "Progress 5/11: primitive, th-compat\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > configure\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > Configuring githash-0.1.7.0...\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > Preprocessing library for githash-0.1.7.0..\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > Building library for githash-0.1.7.0..\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > [1 of 2] Compiling GitHash\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 8 of 13] Compiling Data.Primitive.Types\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > [2 of 2] Compiling Paths_githash\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > copy/register\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > Installing library in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/lib/x86_64-linux-ghc-9.6.4/githash-0.1.7.0-IBcCVjRgNZj8SmYjF4Hj8I\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mgithash > Registering library for githash-0.1.7.0..\u001b[0m\n",
+ "Progress 6/11: primitive\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [ 9 of 13] Compiling Data.Primitive.ByteArray\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> configure\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> Configuring vector-stream-0.1.0.1...\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [10 of 13] Compiling Data.Primitive.PrimArray\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> build with ghc-9.6.4\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> Preprocessing library for vector-stream-0.1.0.1..\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> Building library for vector-stream-0.1.0.1..\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> [1 of 1] Compiling Data.Stream.Monadic\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [11 of 13] Compiling Data.Primitive.Ptr\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [12 of 13] Compiling Data.Primitive\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > [13 of 13] Compiling Data.Primitive.PrimVar\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > copy/register\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > Installing library in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/lib/x86_64-linux-ghc-9.6.4/primitive-0.8.0.0-BcoUEAnXhk925AP6fFV7Ue\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mprimitive > Registering library for primitive-0.8.0.0..\u001b[0m\n",
+ "Progress 7/11: primitive, vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 8/11: vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> copy/register\u001b[0m\n",
+ "Progress 8/11: vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> Installing library in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/lib/x86_64-linux-ghc-9.6.4/vector-stream-0.1.0.1-3kAAjYjKcymLd80Bqq9Xxz\u001b[0m\n",
+ "Progress 8/11: vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector-stream> Registering library for vector-stream-0.1.0.1..\u001b[0m\n",
+ "Progress 8/11: vector-stream\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > configure\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > Configuring vector-0.13.1.0...\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > build with ghc-9.6.4\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > Preprocessing library for vector-0.13.1.0..\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > Building library for vector-0.13.1.0..\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 1 of 21] Compiling Data.Vector.Fusion.Stream.Monadic\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 2 of 21] Compiling Data.Vector.Fusion.Util\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 3 of 21] Compiling Data.Vector.Fusion.Bundle.Size\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 4 of 21] Compiling Data.Vector.Generic.Mutable.Base\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 5 of 21] Compiling Data.Vector.Generic.Base\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 6 of 21] Compiling Data.Vector.Internal.Check\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 7 of 21] Compiling Data.Vector.Fusion.Bundle.Monadic\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 8 of 21] Compiling Data.Vector.Fusion.Bundle\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [ 9 of 21] Compiling Data.Vector.Generic.Mutable\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [10 of 21] Compiling Data.Vector.Generic.New\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [11 of 21] Compiling Data.Vector.Generic\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [12 of 21] Compiling Data.Vector.Mutable\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [13 of 21] Compiling Data.Vector\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [14 of 21] Compiling Data.Vector.Primitive.Mutable\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [15 of 21] Compiling Data.Vector.Primitive\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [16 of 21] Compiling Data.Vector.Storable.Internal\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [17 of 21] Compiling Data.Vector.Storable.Mutable\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [18 of 21] Compiling Data.Vector.Storable\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [19 of 21] Compiling Data.Vector.Unboxed.Base\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [20 of 21] Compiling Data.Vector.Unboxed\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > [21 of 21] Compiling Data.Vector.Unboxed.Mutable\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > copy/register\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > Installing library in /root/.stack/snapshots/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/lib/x86_64-linux-ghc-9.6.4/vector-0.13.1.0-FOiRjj23qtPGmaTT8dsGRq\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mvector > Registering library for vector-0.13.1.0..\u001b[0m\n",
+ "Progress 9/11: vector\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bProgress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0msv2v > configure (exe)\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mConfiguring sv2v-0.0.12...\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0msv2v > build (exe) with ghc-9.6.4\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mPreprocessing executable 'sv2v' for sv2v-0.0.12..\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0munused terminals: 112\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mBuilding executable 'sv2v' for sv2v-0.0.12..\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 1 of 83] Compiling Language.SystemVerilog.AST.Number\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 2 of 83] Compiling Language.SystemVerilog.AST.Op\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 3 of 83] Compiling Language.SystemVerilog.AST.ShowHelp\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 4 of 83] Compiling Language.SystemVerilog.AST.Attr[boot]\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 5 of 83] Compiling Language.SystemVerilog.AST.Type[boot]\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 6 of 83] Compiling Language.SystemVerilog.AST.Expr\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 7 of 83] Compiling Language.SystemVerilog.AST.Type\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 8 of 83] Compiling Language.SystemVerilog.AST.Attr\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[ 9 of 83] Compiling Language.SystemVerilog.AST.LHS\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[10 of 83] Compiling Language.SystemVerilog.AST.Decl\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[11 of 83] Compiling Language.SystemVerilog.AST.Stmt\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[12 of 83] Compiling Language.SystemVerilog.AST.ModuleItem[boot]\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[13 of 83] Compiling Language.SystemVerilog.AST.Description\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[14 of 83] Compiling Language.SystemVerilog.AST.GenItem\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[15 of 83] Compiling Language.SystemVerilog.AST.ModuleItem\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[16 of 83] Compiling Language.SystemVerilog.AST\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[17 of 83] Compiling Convert.UnnamedGenBlock\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[18 of 83] Compiling Convert.Traverse\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[19 of 83] Compiling Convert.Unsigned\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[20 of 83] Compiling Convert.Unique\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[21 of 83] Compiling Convert.TFBlock\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[22 of 83] Compiling Convert.StructConst\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[23 of 83] Compiling Convert.StringType\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[24 of 83] Compiling Convert.StringParam\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[25 of 83] Compiling Convert.Scoper\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[26 of 83] Compiling Convert.Wildcard\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[27 of 83] Compiling Convert.UnpackedArray\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[28 of 83] Compiling Convert.Typedef\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[29 of 83] Compiling Convert.Stream\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[30 of 83] Compiling Convert.SeverityTask\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[31 of 83] Compiling Convert.ResolveBindings\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[32 of 83] Compiling Convert.RemoveComments\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[33 of 83] Compiling Convert.ParamType\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[34 of 83] Compiling Convert.ParamNoDefault\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[35 of 83] Compiling Convert.Package\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[36 of 83] Compiling Convert.UnbasedUnsized\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[37 of 83] Compiling Convert.NamedBlock\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[38 of 83] Compiling Convert.Logic\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[39 of 83] Compiling Convert.LogOp\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[40 of 83] Compiling Convert.KWArgs\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[41 of 83] Compiling Convert.Jump\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[42 of 83] Compiling Convert.IntTypes\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[43 of 83] Compiling Convert.Inside\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[44 of 83] Compiling Convert.ImplicitNet\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[45 of 83] Compiling Convert.HierConst\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[46 of 83] Compiling Convert.GenvarName\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[47 of 83] Compiling Convert.FuncRoutine\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[48 of 83] Compiling Convert.FuncRet\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[49 of 83] Compiling Convert.Foreach\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[50 of 83] Compiling Convert.ForAsgn\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[51 of 83] Compiling Convert.ExprUtils\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[52 of 83] Compiling Convert.TypeOf\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[53 of 83] Compiling Convert.Struct\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[54 of 83] Compiling Convert.Simplify\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[55 of 83] Compiling Convert.PortDecl\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[56 of 83] Compiling Convert.MultiplePacked\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[57 of 83] Compiling Convert.Interface\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[58 of 83] Compiling Convert.ExprAsgn\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[59 of 83] Compiling Convert.EventEdge\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[60 of 83] Compiling Convert.Enum\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[61 of 83] Compiling Convert.EmptyArgs\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[62 of 83] Compiling Convert.DuplicateGenvar\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[63 of 83] Compiling Convert.DoWhile\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[64 of 83] Compiling Convert.DimensionQuery\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[65 of 83] Compiling Convert.Cast\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[66 of 83] Compiling Convert.BlockDecl\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[67 of 83] Compiling Convert.Assertion\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[68 of 83] Compiling Convert.AsgnOp\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[69 of 83] Compiling Convert.AlwaysKW\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[70 of 83] Compiling Bugpoint\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[71 of 83] Compiling Language.SystemVerilog.Parser.Tokens\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[72 of 83] Compiling Language.SystemVerilog.Parser.Preprocess\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[73 of 83] Compiling Language.SystemVerilog.Parser.ParseDecl\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[74 of 83] Compiling Language.SystemVerilog.Parser.Parse\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[75 of 83] Compiling Language.SystemVerilog.Parser.Keywords\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[76 of 83] Compiling Language.SystemVerilog.Parser.Lex\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[77 of 83] Compiling Language.SystemVerilog.Parser\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[78 of 83] Compiling Language.SystemVerilog\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[79 of 83] Compiling Paths_sv2v\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[80 of 83] Compiling Job\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[81 of 83] Compiling Convert\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[82 of 83] Compiling Split\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[83 of 83] Compiling Main\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0m[84 of 84] Linking .stack-work/dist/x86_64-linux-tinfo6/ghc-9.6.4/build/sv2v/sv2v\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0msv2v > copy/register\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mInstalling executable sv2v in /content/sv2v/.stack-work/install/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/bin\u001b[0m\n",
+ "Progress 10/11: sv2v\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\u001b[0mCompleted 11 action(s).\u001b[0m\n",
+ "\u001b[0mCopying from\n",
+ "\u001b[36m/content/sv2v/.stack-work/install/x86_64-linux-tinfo6/68458122ac1854c8a36f9e1425be68e83fa4b46e9199f7d7bacb4ec90670d108/9.6.4/bin/sv2v\u001b[0m\n",
+ "to \u001b[36m/content/sv2v/bin/sv2v\u001b[0m.\u001b[0m\n",
+ "\u001b[0mCopied executables to\n",
+ "\u001b[1;94m/content/sv2v/bin/\u001b[0m:\n",
+ "* sv2v\u001b[0m\n",
+ "\u001b[0m\n",
+ "\u001b[33mWarning:\u001b[0m Installation path\n",
+ " \u001b[1;94m/content/sv2v/bin\u001b[0m\n",
+ " not found on the PATH environment variable.\u001b[0m\n"
+ ]
+ }
+ ],
+ "source": [
+ "!curl -sSL https://get.haskellstack.org/ | sh\n",
+ "!git clone https://github.com/zachjs/sv2v.git\n",
+ "!cd sv2v; make\n",
+ "!./sv2v/bin/sv2v ./MARTIn/src/*.sv > ./MARTIn/src/filter.v"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "WLcOg0l65geC"
+ },
+ "source": [
+ "## 4.4) Generating the sealring\n",
+ "\n",
+ "For the chip to be produced, it is required for it to have a sealring. The script to generate it can be found in the IHP PDK.\n",
+ "\n",
+ "Here a 1370 um x 1370 um sealring is generated to encapsulate the chip.\n"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "lAmPqc0G6MWv",
+ "outputId": "2d73afa4-a137-4b6e-9ea9-9d6dce980a1c"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m"
+ ]
+ }
+ ],
+ "source": [
+ "width = 1370\n",
+ "height = 1370\n",
+ "!export KLAYOUT_HOME=/content/IHP-Open-PDK/ihp-sg13g2/libs.tech/klayout && klayout -n sg13g2 -zz -r /content/IHP-Open-PDK/ihp-sg13g2/libs.tech/klayout/tech/scripts/sealring.py \\\n",
+ "\t\t-rd width={width} -rd height={height} \\\n",
+ "\t\t-rd output=/content/MARTIn/cfg/macros/sealring.gds"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "8ii1Ufu3W4Z_"
+ },
+ "source": [
+ "![](https://drive.google.com/uc?export=view&id=1jvNdJeba3x2h1PaQ8CYC_5oKvSyYgKld)\n",
+ "\n",
+ "Fig. 9 Sealring GDS"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "3qi2yvZElkOi"
+ },
+ "source": [
+ "## 4.5) Openroad flow\n",
+ "\n",
+ "Using the converted files, the sealring GDS and config files, the Openroad flow can be ran.\n"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "0HI0IQeQljhX",
+ "outputId": "eaf1fb3b-7925-47f2-fe73-83787d720394"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "OPENROAD: /content/OpenROAD-flow-scripts/tools/OpenROAD\n",
+ "/content/OpenROAD-flow-scripts/flow/util/preprocessLib.py -i /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p20V_25C.lib -o objects/ihp-sg13g2/martin/base/lib/sg13g2_stdcell_typ_1p20V_25C.lib\n",
+ "Opening file for replace: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p20V_25C.lib\n",
+ "Commented 0 lines containing \"original_pin\"\n",
+ "Replaced malformed functions 0\n",
+ "Writing replaced file: objects/ihp-sg13g2/martin/base/lib/sg13g2_stdcell_typ_1p20V_25C.lib\n",
+ "/content/OpenROAD-flow-scripts/flow/util/preprocessLib.py -i /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lib/sg13g2_io_dummy.lib -o objects/ihp-sg13g2/martin/base/lib/sg13g2_io_dummy.lib\n",
+ "Opening file for replace: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lib/sg13g2_io_dummy.lib\n",
+ "Commented 0 lines containing \"original_pin\"\n",
+ "Replaced malformed functions 0\n",
+ "Writing replaced file: objects/ihp-sg13g2/martin/base/lib/sg13g2_io_dummy.lib\n",
+ "mkdir -p results/ihp-sg13g2/martin/base/\n",
+ "echo 20000 > results/ihp-sg13g2/martin/base/clock_period.txt\n",
+ "mkdir -p ./results/ihp-sg13g2/martin/base ./logs/ihp-sg13g2/martin/base ./reports/ihp-sg13g2/martin/base ./objects/ihp-sg13g2/martin/base\n",
+ "(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /content/oss-cad-suite/bin/yosys -v 3 -c /content/OpenROAD-flow-scripts/flow/scripts/synth_canonicalize.tcl) 2>&1 | tee ./logs/ihp-sg13g2/martin/base/1_1_yosys.log\n",
+ "1. Executing Verilog-2005 frontend: /content/MARTIn/src/filter.v\n",
+ "2. Executing Verilog-2005 frontend: /content/MARTIn/src/shreg.v\n",
+ "3. Executing Verilog-2005 frontend: /content/MARTIn/src/lfsr.v\n",
+ "4. Executing Verilog-2005 frontend: /content/MARTIn/src/martin_top.v\n",
+ "5. Executing Liberty frontend: ./objects/ihp-sg13g2/martin/base/lib/sg13g2_stdcell_typ_1p20V_25C.lib\n",
+ "6. Executing Liberty frontend: ./objects/ihp-sg13g2/martin/base/lib/sg13g2_io_dummy.lib\n",
+ "7. Executing Verilog-2005 frontend: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/cells_clkgate.v\n",
+ "Using ABC speed script.\n",
+ "Extracting clock period from SDC file: ./results/ihp-sg13g2/martin/base/clock_period.txt\n",
+ "Setting clock period to 20000\n",
+ "8. Executing HIERARCHY pass (managing design hierarchy).\n",
+ "9. Executing AST frontend in derive mode using pre-parsed AST for module `\\martin_top'.\n",
+ "9.1. Analyzing design hierarchy..\n",
+ "9.2. Executing AST frontend in derive mode using pre-parsed AST for module `\\lfsr'.\n",
+ "9.3. Executing AST frontend in derive mode using pre-parsed AST for module `\\shreg'.\n",
+ "9.4. Executing AST frontend in derive mode using pre-parsed AST for module `\\filter'.\n",
+ "9.5. Analyzing design hierarchy..\n",
+ "9.6. Executing AST frontend in derive mode using pre-parsed AST for module `\\median_brute'.\n",
+ "9.7. Analyzing design hierarchy..\n",
+ "9.8. Executing AST frontend in derive mode using pre-parsed AST for module `\\comb_counter'.\n",
+ "9.9. Executing AST frontend in derive mode using pre-parsed AST for module `\\comb_comparator'.\n",
+ "9.10. Analyzing design hierarchy..\n",
+ "9.11. Analyzing design hierarchy..\n",
+ "10. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "Warning: Ignoring module $paramod\\comb_counter\\CHANNELS=s32'00000000000000000000000000001000 because it contains processes (run 'proc' command first).\n",
+ "Warning: Ignoring module median_brute because it contains processes (run 'proc' command first).\n",
+ "Warning: Ignoring module filter because it contains processes (run 'proc' command first).\n",
+ "Warning: Ignoring module shreg because it contains processes (run 'proc' command first).\n",
+ "Warning: Ignoring module lfsr because it contains processes (run 'proc' command first).\n",
+ "Warning: Ignoring module $paramod\\comb_comparator\\DATA_SIZE=s32'00000000000000000000000000001000 because it contains processes (run 'proc' command first).\n",
+ "11. Executing RTLIL backend.\n",
+ "Warnings: 6 unique messages, 6 total\n",
+ "End of script. Logfile hash: b3c066b44f, CPU: user 0.06s system 0.02s, MEM: 15.20 MB peak\n",
+ "Yosys 0.43+11 (git sha1 49f547782, clang++ 14.0.0-1ubuntu1.1 -fPIC -Os)\n",
+ "Time spent: 54% 3x read_liberty (0 sec), 31% 1x hierarchy (0 sec), ...\n",
+ "Elapsed time: 0:00.28[h:]min:sec. CPU time: user 0.05 sys 0.02 (28%). Peak memory: 17228KB.\n",
+ "mkdir -p ./results/ihp-sg13g2/martin/base ./logs/ihp-sg13g2/martin/base ./reports/ihp-sg13g2/martin/base ./objects/ihp-sg13g2/martin/base\n",
+ "(export VERILOG_FILES=./results/ihp-sg13g2/martin/base/1_synth.rtlil; \\\n",
+ "/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' /content/oss-cad-suite/bin/yosys -v 3 -c /content/OpenROAD-flow-scripts/flow/scripts/synth.tcl) 2>&1 | tee -a ./logs/ihp-sg13g2/martin/base/1_1_yosys.log\n",
+ "1. Executing RTLIL frontend.\n",
+ "2. Executing Liberty frontend: ./objects/ihp-sg13g2/martin/base/lib/sg13g2_stdcell_typ_1p20V_25C.lib\n",
+ "3. Executing Liberty frontend: ./objects/ihp-sg13g2/martin/base/lib/sg13g2_io_dummy.lib\n",
+ "4. Executing Verilog-2005 frontend: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/cells_clkgate.v\n",
+ "Using ABC speed script.\n",
+ "Extracting clock period from SDC file: ./results/ihp-sg13g2/martin/base/clock_period.txt\n",
+ "Setting clock period to 20000\n",
+ "synth -top martin_top -run :fine -flatten -extra-map /content/OpenROAD-flow-scripts/flow/platforms/common/lcu_kogge_stone.v\n",
+ "5. Executing SYNTH pass.\n",
+ "5.1. Executing HIERARCHY pass (managing design hierarchy).\n",
+ "5.1.1. Analyzing design hierarchy..\n",
+ "5.1.2. Analyzing design hierarchy..\n",
+ "5.2. Executing PROC pass (convert processes to netlists).\n",
+ "5.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).\n",
+ "5.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).\n",
+ "5.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).\n",
+ "5.2.4. Executing PROC_INIT pass (extract init attributes).\n",
+ "5.2.5. Executing PROC_ARST pass (detect async resets in processes).\n",
+ "5.2.6. Executing PROC_ROM pass (convert switches to ROMs).\n",
+ "5.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers).\n",
+ "5.2.8. Executing PROC_DLATCH pass (convert process syncs to latches).\n",
+ "5.2.9. Executing PROC_DFF pass (convert process syncs to FFs).\n",
+ "5.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells).\n",
+ "5.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees).\n",
+ "5.2.12. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.3. Executing FLATTEN pass (flatten design).\n",
+ "5.4. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.5. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.6. Executing CHECK pass (checking for obvious problems).\n",
+ "5.7. Executing OPT pass (performing simple optimizations).\n",
+ "5.7.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.7.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "5.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "5.7.5. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.7.6. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "5.7.7. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.7.8. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.7.9. Rerunning OPT passes. (Maybe there is more to do..)\n",
+ "5.7.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "5.7.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "5.7.12. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.7.13. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "5.7.14. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.7.15. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.7.16. Finished OPT passes. (There is nothing left to do.)\n",
+ "5.8. Executing FSM pass (extract and optimize FSM).\n",
+ "5.8.1. Executing FSM_DETECT pass (finding FSMs in design).\n",
+ "5.8.2. Executing FSM_EXTRACT pass (extracting FSM from design).\n",
+ "5.8.3. Executing FSM_OPT pass (simple optimizations of FSMs).\n",
+ "5.8.4. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.8.5. Executing FSM_OPT pass (simple optimizations of FSMs).\n",
+ "5.8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding).\n",
+ "5.8.7. Executing FSM_INFO pass (dumping all available information on FSM cells).\n",
+ "5.8.8. Executing FSM_MAP pass (mapping FSMs to basic logic).\n",
+ "5.9. Executing OPT pass (performing simple optimizations).\n",
+ "5.9.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.9.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "5.9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "5.9.5. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.9.6. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "5.9.7. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.9.8. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.9.9. Rerunning OPT passes. (Maybe there is more to do..)\n",
+ "5.9.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "5.9.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "5.9.12. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.9.13. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "5.9.14. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.9.15. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.9.16. Finished OPT passes. (There is nothing left to do.)\n",
+ "5.10. Executing WREDUCE pass (reducing word size of cells).\n",
+ "5.11. Executing PEEPOPT pass (run peephole optimizers).\n",
+ "5.12. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.13. Executing ALUMACC pass (create $alu and $macc cells).\n",
+ "5.14. Executing SHARE pass (SAT-based resource sharing).\n",
+ "5.15. Executing OPT pass (performing simple optimizations).\n",
+ "5.15.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.15.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.15.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "5.15.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "5.15.5. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.15.6. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "5.15.7. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.15.8. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.15.9. Rerunning OPT passes. (Maybe there is more to do..)\n",
+ "5.15.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "5.15.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "5.15.12. Executing OPT_MERGE pass (detect identical cells).\n",
+ "5.15.13. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "5.15.14. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.15.15. Executing OPT_EXPR pass (perform const folding).\n",
+ "5.15.16. Finished OPT passes. (There is nothing left to do.)\n",
+ "5.16. Executing MEMORY pass.\n",
+ "5.16.1. Executing OPT_MEM pass (optimize memories).\n",
+ "5.16.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations).\n",
+ "5.16.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths).\n",
+ "5.16.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs).\n",
+ "5.16.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd).\n",
+ "5.16.6. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.16.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells).\n",
+ "5.16.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide).\n",
+ "5.16.9. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "5.16.10. Executing MEMORY_COLLECT pass (generating $mem cells).\n",
+ "5.17. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "6. Executing SYNTH pass.\n",
+ "6.1. Executing OPT pass (performing simple optimizations).\n",
+ "6.1.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "6.1.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "6.1.3. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "6.1.4. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "6.1.5. Finished fast OPT passes.\n",
+ "6.2. Executing MEMORY_MAP pass (converting memories to logic and flip-flops).\n",
+ "6.3. Executing OPT pass (performing simple optimizations).\n",
+ "6.3.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "6.3.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "6.3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "6.3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "6.3.5. Executing OPT_MERGE pass (detect identical cells).\n",
+ "6.3.6. Executing OPT_SHARE pass.\n",
+ "6.3.7. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "6.3.8. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "6.3.9. Executing OPT_EXPR pass (perform const folding).\n",
+ "6.3.10. Finished OPT passes. (There is nothing left to do.)\n",
+ "6.4. Executing TECHMAP pass (map to technology primitives).\n",
+ "6.4.1. Executing Verilog-2005 frontend: /content/oss-cad-suite/lib/../share/yosys/techmap.v\n",
+ "6.4.2. Executing Verilog-2005 frontend: /content/OpenROAD-flow-scripts/flow/platforms/common/lcu_kogge_stone.v\n",
+ "6.4.3. Continuing TECHMAP pass.\n",
+ "6.4.15. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "6.4.16. Executing OPT_EXPR pass (perform const folding).\n",
+ "6.5. Executing OPT pass (performing simple optimizations).\n",
+ "6.5.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "6.5.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "6.5.3. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "6.5.4. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "6.5.5. Finished fast OPT passes.\n",
+ "6.6. Executing ABC pass (technology mapping using ABC).\n",
+ "6.6.1. Extracting gate netlist of module `\\martin_top' to `/input.blif'..\n",
+ "6.7. Executing OPT pass (performing simple optimizations).\n",
+ "6.7.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "6.7.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "6.7.3. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "6.7.4. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "6.7.5. Finished fast OPT passes.\n",
+ "6.8. Executing HIERARCHY pass (managing design hierarchy).\n",
+ "6.8.1. Analyzing design hierarchy..\n",
+ "6.8.2. Analyzing design hierarchy..\n",
+ "6.9. Printing statistics.\n",
+ "6.10. Executing CHECK pass (checking for obvious problems).\n",
+ "7. Executing OPT pass (performing simple optimizations).\n",
+ "7.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "7.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "7.5. Executing OPT_MERGE pass (detect identical cells).\n",
+ "7.6. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "7.7. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "7.8. Executing OPT_EXPR pass (perform const folding).\n",
+ "7.9. Rerunning OPT passes. (Maybe there is more to do..)\n",
+ "7.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "7.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "7.12. Executing OPT_MERGE pass (detect identical cells).\n",
+ "7.13. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "7.14. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "7.15. Executing OPT_EXPR pass (perform const folding).\n",
+ "7.16. Finished OPT passes. (There is nothing left to do.)\n",
+ "8. Executing TECHMAP pass (map to technology primitives).\n",
+ "8.1. Executing Verilog-2005 frontend: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/cells_latch.v\n",
+ "8.2. Continuing TECHMAP pass.\n",
+ "9. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file).\n",
+ "Warning: Found unsupported expression 'SCE*SCD+SCE'*D' in pin attribute of cell 'sg13g2_sdfbbp_1' - skipping.\n",
+ "9.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target).\n",
+ "10. Executing OPT pass (performing simple optimizations).\n",
+ "10.1. Executing OPT_EXPR pass (perform const folding).\n",
+ "10.2. Executing OPT_MERGE pass (detect identical cells).\n",
+ "10.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).\n",
+ "10.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).\n",
+ "10.5. Executing OPT_MERGE pass (detect identical cells).\n",
+ "10.6. Executing OPT_DFF pass (perform DFF optimizations).\n",
+ "10.7. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "10.8. Executing OPT_EXPR pass (perform const folding).\n",
+ "10.9. Finished OPT passes. (There is nothing left to do.)\n",
+ "abc -script /content/OpenROAD-flow-scripts/flow/scripts/abc_speed.script -liberty ./objects/ihp-sg13g2/martin/base/lib/sg13g2_stdcell_typ_1p20V_25C.lib -constr ./objects/ihp-sg13g2/martin/base/abc.constr -dont_use sg13g2_lgcp_1 -dont_use sg13g2_sighold -dont_use sg13g2_slgcp_1 -dont_use sg13g2_dfrbp_2 -D 20000\n",
+ "11. Executing ABC pass (technology mapping using ABC).\n",
+ "11.1. Extracting gate netlist of module `\\martin_top' to `/input.blif'..\n",
+ "11.1.1. Executing ABC.\n",
+ "11.1.2. Re-integrating ABC results.\n",
+ "12. Executing SETUNDEF pass (replace undef values with defined constants).\n",
+ "13. Executing SPLITNETS pass (splitting up multi-bit signals).\n",
+ "14. Executing OPT_CLEAN pass (remove unused cells and wires).\n",
+ "15. Executing HILOMAP pass (mapping to constant drivers).\n",
+ "16. Executing INSBUF pass (insert buffer cells for connected wires).\n",
+ "17. Executing CHECK pass (checking for obvious problems).\n",
+ "18. Printing statistics.\n",
+ "19. Executing Verilog backend.\n",
+ "Warnings: 1 unique messages, 9 total\n",
+ "End of script. Logfile hash: 47850f8eb2, CPU: user 2.96s system 0.05s, MEM: 60.26 MB peak\n",
+ "Yosys 0.43+11 (git sha1 49f547782, clang++ 14.0.0-1ubuntu1.1 -fPIC -Os)\n",
+ "Time spent: 62% 2x abc (4 sec), 8% 24x opt_clean (0 sec), ...\n",
+ "Elapsed time: 0:07.91[h:]min:sec. CPU time: user 7.58 sys 0.12 (97%). Peak memory: 61704KB.\n",
+ "mkdir -p ./results/ihp-sg13g2/martin/base ./logs/ihp-sg13g2/martin/base ./reports/ihp-sg13g2/martin/base\n",
+ "cp ./results/ihp-sg13g2/martin/base/1_1_yosys.v ./results/ihp-sg13g2/martin/base/1_synth.v\n",
+ "mkdir -p ./results/ihp-sg13g2/martin/base\n",
+ "cp /content/MARTIn/cfg/constraint.sdc ./results/ihp-sg13g2/martin/base/1_synth.sdc\n",
+ "OpenROAD 9b28074f5d04c68a9ddcc5c571583c1950e326a2 \n",
+ "Features included (+) or not (-): +Charts +GPU +GUI +Python : None\n",
+ "This program is licensed under the BSD-3 license. See the LICENSE file for details.\n",
+ "Components of this program may be licensed under more restrictive licenses which must be honored.\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "mkdir -p ./objects/ihp-sg13g2/martin/base\n",
+ "Running floorplan.tcl, stage 2_1_floorplan\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "[INFO ODB-0227] LEF file: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lef/sg13g2_tech.lef, created 19 layers, 300 vias\n",
+ "[INFO ODB-0227] LEF file: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lef/sg13g2_stdcell.lef, created 78 library cells\n",
+ "[INFO ODB-0227] LEF file: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lef/sg13g2_io.lef, created 22 library cells\n",
+ "[INFO ODB-0227] LEF file: /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lef/bondpad_70x70.lef, created 1 library cells\n",
+ "[WARNING STA-0441] set_input_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "[WARNING STA-0441] set_output_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "\n",
+ "==========================================================================\n",
+ "Floorplan check_setup\n",
+ "--------------------------------------------------------------------------\n",
+ "Warning: There is 1 output port missing set_output_delay.\n",
+ "Warning: There are 18 unconstrained endpoints.\n",
+ "number instances in verilog is 6992\n",
+ "[WARNING IFP-0028] Core area lower left (390.000, 390.000) snapped to (390.240, 393.120).\n",
+ "[INFO IFP-0001] Added 155 rows of 1228 site CoreSite.\n",
+ "[INFO PAD-0116] Creating terminal for aux_enable_pad on TopMetal2 at (70.000um, 695.000um) - (140.000um, 765.000um)\n",
+ "[INFO PAD-0116] Creating terminal for clk_pad on TopMetal2 at (70.000um, 425.000um) - (140.000um, 495.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_in_pad[0] on TopMetal2 at (335.000um, 1230.000um) - (405.000um, 1300.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_in_pad[1] on TopMetal2 at (425.000um, 1230.000um) - (495.000um, 1300.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_in_pad[2] on TopMetal2 at (515.000um, 1230.000um) - (585.000um, 1300.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_in_pad[3] on TopMetal2 at (605.000um, 1230.000um) - (675.000um, 1300.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_in_pad[4] on TopMetal2 at (695.000um, 1230.000um) - (765.000um, 1300.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_in_pad[5] on TopMetal2 at (785.000um, 1230.000um) - (855.000um, 1300.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_in_pad[6] on TopMetal2 at (875.000um, 1230.000um) - (945.000um, 1300.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_in_pad[7] on TopMetal2 at (965.000um, 1230.000um) - (1035.000um, 1300.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_out_pad[0] on TopMetal2 at (335.000um, 70.000um) - (405.000um, 140.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_out_pad[1] on TopMetal2 at (425.000um, 70.000um) - (495.000um, 140.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_out_pad[2] on TopMetal2 at (515.000um, 70.000um) - (585.000um, 140.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_out_pad[3] on TopMetal2 at (605.000um, 70.000um) - (675.000um, 140.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_out_pad[4] on TopMetal2 at (695.000um, 70.000um) - (765.000um, 140.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_out_pad[5] on TopMetal2 at (785.000um, 70.000um) - (855.000um, 140.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_out_pad[6] on TopMetal2 at (875.000um, 70.000um) - (945.000um, 140.000um)\n",
+ "[INFO PAD-0116] Creating terminal for data_out_pad[7] on TopMetal2 at (965.000um, 70.000um) - (1035.000um, 140.000um)\n",
+ "[INFO PAD-0116] Creating terminal for lfsr_out_pad on TopMetal2 at (70.000um, 875.000um) - (140.000um, 945.000um)\n",
+ "[INFO PAD-0116] Creating terminal for out_select_pad[0] on TopMetal2 at (1230.000um, 695.000um) - (1300.000um, 765.000um)\n",
+ "[INFO PAD-0116] Creating terminal for out_select_pad[1] on TopMetal2 at (1230.000um, 785.000um) - (1300.000um, 855.000um)\n",
+ "[INFO PAD-0116] Creating terminal for reg_addr_pad[0] on TopMetal2 at (1230.000um, 425.000um) - (1300.000um, 495.000um)\n",
+ "[INFO PAD-0116] Creating terminal for reg_addr_pad[1] on TopMetal2 at (1230.000um, 515.000um) - (1300.000um, 585.000um)\n",
+ "[INFO PAD-0116] Creating terminal for reg_addr_pad[2] on TopMetal2 at (1230.000um, 605.000um) - (1300.000um, 675.000um)\n",
+ "[INFO PAD-0116] Creating terminal for rst_pad on TopMetal2 at (70.000um, 515.000um) - (140.000um, 585.000um)\n",
+ "[INFO PAD-0116] Creating terminal for shreg_in_pad on TopMetal2 at (70.000um, 785.000um) - (140.000um, 855.000um)\n",
+ "[INFO PAD-0116] Creating terminal for shreg_out_pad on TopMetal2 at (1230.000um, 875.000um) - (1300.000um, 945.000um)\n",
+ "[INFO PAD-0116] Creating terminal for wr_enable_pad on TopMetal2 at (70.000um, 605.000um) - (140.000um, 675.000um)\n",
+ "[WARNING PAD-0033] Could not find a block terminal associated with net: \"IO_CORNER_NORTH_WEST_INST.vss_RING\", creating now.\n",
+ "[INFO PAD-0116] Creating terminal for IO_CORNER_NORTH_WEST_INST.vss_RING on TopMetal2 at (1230.000um, 335.000um) - (1300.000um, 405.000um)\n",
+ "[WARNING PAD-0033] Could not find a block terminal associated with net: \"IO_CORNER_NORTH_WEST_INST.vdd_RING\", creating now.\n",
+ "[INFO PAD-0116] Creating terminal for IO_CORNER_NORTH_WEST_INST.vdd_RING on TopMetal2 at (70.000um, 335.000um) - (140.000um, 405.000um)\n",
+ "[WARNING PAD-0033] Could not find a block terminal associated with net: \"IO_CORNER_NORTH_WEST_INST.iovdd_RING\", creating now.\n",
+ "[INFO PAD-0116] Creating terminal for IO_CORNER_NORTH_WEST_INST.iovdd_RING on TopMetal2 at (70.000um, 965.000um) - (140.000um, 1035.000um)\n",
+ "[WARNING PAD-0033] Could not find a block terminal associated with net: \"IO_CORNER_NORTH_WEST_INST.iovss_RING\", creating now.\n",
+ "[INFO PAD-0116] Creating terminal for IO_CORNER_NORTH_WEST_INST.iovss_RING on TopMetal2 at (1230.000um, 965.000um) - (1300.000um, 1035.000um)\n",
+ "[INFO RSZ-0026] Removed 608 buffers.\n",
+ "Default units for flow\n",
+ " time 1ns\n",
+ " capacitance 1pF\n",
+ " resistance 1kohm\n",
+ " voltage 1v\n",
+ " current 1uA\n",
+ " power 1pW\n",
+ " distance 1um\n",
+ "Report metrics stage 2, floorplan final...\n",
+ "Warning: There is 1 output port missing set_output_delay.\n",
+ "Warning: There are 18 unconstrained endpoints.\n",
+ "\n",
+ "==========================================================================\n",
+ "floorplan final report_design_area\n",
+ "--------------------------------------------------------------------------\n",
+ "Design area 138079 u^2 40% utilization.\n",
+ "Elapsed time: 0:02.40[h:]min:sec. CPU time: user 2.24 sys 0.08 (97%). Peak memory: 115700KB.\n",
+ "cp ./results/ihp-sg13g2/martin/base/2_1_floorplan.odb ./results/ihp-sg13g2/martin/base/2_2_floorplan_io.odb\n",
+ "Running tdms_place.tcl, stage 2_3_floorplan_tdms\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "[WARNING STA-0441] set_input_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "[WARNING STA-0441] set_output_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "No macros found: Skipping global_placement\n",
+ "Elapsed time: 0:00.26[h:]min:sec. CPU time: user 0.22 sys 0.04 (98%). Peak memory: 96188KB.\n",
+ "Running macro_place.tcl, stage 2_4_floorplan_macro\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "[WARNING STA-0441] set_input_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "[WARNING STA-0441] set_output_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "No macros found: Skipping macro_placement\n",
+ "Elapsed time: 0:00.24[h:]min:sec. CPU time: user 0.21 sys 0.03 (98%). Peak memory: 95884KB.\n",
+ "Running tapcell.tcl, stage 2_5_floorplan_tapcell\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "[WARNING STA-0441] set_input_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "[WARNING STA-0441] set_output_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "Elapsed time: 0:00.23[h:]min:sec. CPU time: user 0.19 sys 0.03 (98%). Peak memory: 91248KB.\n",
+ "Running pdn.tcl, stage 2_6_floorplan_pdn\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "[WARNING STA-0441] set_input_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "[WARNING STA-0441] set_output_delay relative to a clock defined on the same port/pin not allowed.\n",
+ "[INFO PDN-0001] Inserting grid: grid\n",
+ "Elapsed time: 0:00.63[h:]min:sec. CPU time: user 0.58 sys 0.04 (99%). Peak memory: 100472KB.\n",
+ "cp ./results/ihp-sg13g2/martin/base/2_6_floorplan_pdn.odb ./results/ihp-sg13g2/martin/base/2_floorplan.odb\n",
+ "Running global_place_skip_io.tcl, stage 3_1_place_gp_skip_io\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "[INFO ORD-0030] Using 1 thread(s).\n",
+ "[INFO GPL-0002] DBU: 1000\n",
+ "[INFO GPL-0003] SiteSize: ( 0.480 3.780 ) um\n",
+ "[INFO GPL-0004] CoreBBox: ( 390.240 393.120 ) ( 979.680 979.020 ) um\n",
+ "[INFO GPL-0006] NumInstances: 6356\n",
+ "[INFO GPL-0007] NumPlaceInstances: 6356\n",
+ "[INFO GPL-0008] NumFixedInstances: 0\n",
+ "[INFO GPL-0009] NumDummyInstances: 0\n",
+ "[INFO GPL-0010] NumNets: 8105\n",
+ "[INFO GPL-0011] NumPins: 25064\n",
+ "[INFO GPL-0012] DieBBox: ( 0.000 0.000 ) ( 1370.000 1370.000 ) um\n",
+ "[INFO GPL-0013] CoreBBox: ( 390.240 393.120 ) ( 979.680 979.020 ) um\n",
+ "[INFO GPL-0016] CoreArea: 345352.896 um^2\n",
+ "[INFO GPL-0017] NonPlaceInstsArea: 0.000 um^2\n",
+ "[INFO GPL-0018] PlaceInstsArea: 138079.469 um^2\n",
+ "[INFO GPL-0019] Util: 39.982 %\n",
+ "[INFO GPL-0020] StdInstsArea: 138079.469 um^2\n",
+ "[INFO GPL-0021] MacroInstsArea: 0.000 um^2\n",
+ "[INFO GPL-0031] FillerInit:NumGCells: 10446\n",
+ "[INFO GPL-0032] FillerInit:NumGNets: 8105\n",
+ "[INFO GPL-0033] FillerInit:NumGPins: 25064\n",
+ "[INFO GPL-0023] TargetDensity: 0.650\n",
+ "[INFO GPL-0024] AvrgPlaceInstArea: 21.724 um^2\n",
+ "[INFO GPL-0025] IdealBinArea: 33.422 um^2\n",
+ "[INFO GPL-0026] IdealBinCnt: 10333\n",
+ "[INFO GPL-0027] TotalBinArea: 345352.896 um^2\n",
+ "[INFO GPL-0028] BinCnt: 64 64\n",
+ "[INFO GPL-0029] BinSize: ( 9.210 9.155 )\n",
+ "[INFO GPL-0030] NumBins: 4096\n",
+ "[NesterovSolve] Iter: 1 overflow: 0.996 HPWL: 52670074\n",
+ "[NesterovSolve] Iter: 10 overflow: 0.974 HPWL: 63123388\n",
+ "[NesterovSolve] Iter: 20 overflow: 0.965 HPWL: 63610395\n",
+ "[NesterovSolve] Iter: 30 overflow: 0.962 HPWL: 62937363\n",
+ "[NesterovSolve] Iter: 40 overflow: 0.960 HPWL: 62300197\n",
+ "[NesterovSolve] Iter: 50 overflow: 0.958 HPWL: 61976299\n",
+ "[NesterovSolve] Iter: 60 overflow: 0.957 HPWL: 61611761\n",
+ "[NesterovSolve] Iter: 70 overflow: 0.955 HPWL: 61217649\n",
+ "[NesterovSolve] Iter: 80 overflow: 0.953 HPWL: 60917679\n",
+ "[NesterovSolve] Iter: 90 overflow: 0.952 HPWL: 60757843\n",
+ "[NesterovSolve] Iter: 100 overflow: 0.950 HPWL: 60676516\n",
+ "[NesterovSolve] Iter: 110 overflow: 0.949 HPWL: 60677030\n",
+ "[NesterovSolve] Iter: 120 overflow: 0.946 HPWL: 60788113\n",
+ "[NesterovSolve] Iter: 130 overflow: 0.944 HPWL: 61120900\n",
+ "[NesterovSolve] Iter: 140 overflow: 0.936 HPWL: 62396562\n",
+ "[NesterovSolve] Iter: 150 overflow: 0.909 HPWL: 64366740\n",
+ "[NesterovSolve] Iter: 160 overflow: 0.862 HPWL: 66476443\n",
+ "[NesterovSolve] Iter: 170 overflow: 0.800 HPWL: 67751232\n",
+ "[NesterovSolve] Iter: 180 overflow: 0.735 HPWL: 67015789\n",
+ "[NesterovSolve] Iter: 190 overflow: 0.676 HPWL: 65182571\n",
+ "[NesterovSolve] Iter: 200 overflow: 0.627 HPWL: 64329305\n",
+ "[NesterovSolve] Iter: 210 overflow: 0.582 HPWL: 64196453\n",
+ "[NesterovSolve] Iter: 220 overflow: 0.549 HPWL: 64804134\n",
+ "[NesterovSolve] Iter: 230 overflow: 0.522 HPWL: 66297693\n",
+ "[NesterovSolve] Iter: 240 overflow: 0.483 HPWL: 68057433\n",
+ "[NesterovSolve] Iter: 250 overflow: 0.449 HPWL: 69388291\n",
+ "[NesterovSolve] Iter: 260 overflow: 0.406 HPWL: 70853211\n",
+ "[NesterovSolve] Iter: 270 overflow: 0.380 HPWL: 71060359\n",
+ "[NesterovSolve] Iter: 280 overflow: 0.368 HPWL: 72111952\n",
+ "[NesterovSolve] Iter: 290 overflow: 0.362 HPWL: 73744916\n",
+ "[NesterovSolve] Iter: 300 overflow: 0.342 HPWL: 75680569\n",
+ "[NesterovSolve] Iter: 310 overflow: 0.309 HPWL: 77321430\n",
+ "[NesterovSolve] Iter: 320 overflow: 0.286 HPWL: 78315704\n",
+ "[NesterovSolve] Iter: 330 overflow: 0.271 HPWL: 80013929\n",
+ "[NesterovSolve] Iter: 340 overflow: 0.251 HPWL: 81570877\n",
+ "[NesterovSolve] Iter: 350 overflow: 0.233 HPWL: 82398879\n",
+ "[NesterovSolve] Iter: 360 overflow: 0.214 HPWL: 83530903\n",
+ "[NesterovSolve] Iter: 370 overflow: 0.195 HPWL: 84192690\n",
+ "[NesterovSolve] Iter: 380 overflow: 0.174 HPWL: 84916390\n",
+ "[NesterovSolve] Iter: 390 overflow: 0.151 HPWL: 85295762\n",
+ "[NesterovSolve] Iter: 400 overflow: 0.131 HPWL: 85607942\n",
+ "[NesterovSolve] Iter: 410 overflow: 0.106 HPWL: 85831157\n",
+ "[NesterovSolve] Finished with Overflow: 0.099012\n",
+ "Elapsed time: 0:02.89[h:]min:sec. CPU time: user 2.83 sys 0.05 (99%). Peak memory: 106464KB.\n",
+ "cp ./results/ihp-sg13g2/martin/base/3_1_place_gp_skip_io.odb ./results/ihp-sg13g2/martin/base/3_2_place_iop.odb\n",
+ "Running global_place.tcl, stage 3_3_place_gp\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "[INFO ORD-0030] Using 1 thread(s).\n",
+ "global_placement -density 0.65 -pad_left 0 -pad_right 0 -routability_driven -timing_driven\n",
+ "[INFO GPL-0002] DBU: 1000\n",
+ "[INFO GPL-0003] SiteSize: ( 0.480 3.780 ) um\n",
+ "[INFO GPL-0004] CoreBBox: ( 390.240 393.120 ) ( 979.680 979.020 ) um\n",
+ "[INFO GPL-0006] NumInstances: 6356\n",
+ "[INFO GPL-0007] NumPlaceInstances: 6356\n",
+ "[INFO GPL-0008] NumFixedInstances: 0\n",
+ "[INFO GPL-0009] NumDummyInstances: 0\n",
+ "[INFO GPL-0010] NumNets: 8105\n",
+ "[INFO GPL-0011] NumPins: 25092\n",
+ "[INFO GPL-0012] DieBBox: ( 0.000 0.000 ) ( 1370.000 1370.000 ) um\n",
+ "[INFO GPL-0013] CoreBBox: ( 390.240 393.120 ) ( 979.680 979.020 ) um\n",
+ "[INFO GPL-0016] CoreArea: 345352.896 um^2\n",
+ "[INFO GPL-0017] NonPlaceInstsArea: 0.000 um^2\n",
+ "[INFO GPL-0018] PlaceInstsArea: 138079.469 um^2\n",
+ "[INFO GPL-0019] Util: 39.982 %\n",
+ "[INFO GPL-0020] StdInstsArea: 138079.469 um^2\n",
+ "[INFO GPL-0021] MacroInstsArea: 0.000 um^2\n",
+ "[InitialPlace] Iter: 1 CG residual: 0.00753078 HPWL: 176668879\n",
+ "[InitialPlace] Iter: 2 CG residual: 0.00623380 HPWL: 138171584\n",
+ "[InitialPlace] Iter: 3 CG residual: 0.00576438 HPWL: 138782155\n",
+ "[InitialPlace] Iter: 4 CG residual: 0.00497208 HPWL: 139475153\n",
+ "[InitialPlace] Iter: 5 CG residual: 0.00208915 HPWL: 140038987\n",
+ "[InitialPlace] Iter: 6 CG residual: 0.00086671 HPWL: 140254910\n",
+ "[InitialPlace] Iter: 7 CG residual: 0.00038058 HPWL: 140195431\n",
+ "[InitialPlace] Iter: 8 CG residual: 0.00023472 HPWL: 140333478\n",
+ "[InitialPlace] Iter: 9 CG residual: 0.00019881 HPWL: 140310811\n",
+ "[InitialPlace] Iter: 10 CG residual: 0.00016645 HPWL: 140386844\n",
+ "[InitialPlace] Iter: 11 CG residual: 0.00017131 HPWL: 140371909\n",
+ "[InitialPlace] Iter: 12 CG residual: 0.00014998 HPWL: 140468765\n",
+ "[InitialPlace] Iter: 13 CG residual: 0.00018044 HPWL: 140468371\n",
+ "[InitialPlace] Iter: 14 CG residual: 0.00013849 HPWL: 140521454\n",
+ "[InitialPlace] Iter: 15 CG residual: 0.00018785 HPWL: 140542144\n",
+ "[InitialPlace] Iter: 16 CG residual: 0.00011693 HPWL: 140565926\n",
+ "[InitialPlace] Iter: 17 CG residual: 0.00020689 HPWL: 140603011\n",
+ "[InitialPlace] Iter: 18 CG residual: 0.00010802 HPWL: 140610896\n",
+ "[InitialPlace] Iter: 19 CG residual: 0.00023129 HPWL: 140626117\n",
+ "[InitialPlace] Iter: 20 CG residual: 0.00010083 HPWL: 140646257\n",
+ "[INFO GPL-0031] FillerInit:NumGCells: 10446\n",
+ "[INFO GPL-0032] FillerInit:NumGNets: 8105\n",
+ "[INFO GPL-0033] FillerInit:NumGPins: 25092\n",
+ "[INFO GPL-0023] TargetDensity: 0.650\n",
+ "[INFO GPL-0024] AvrgPlaceInstArea: 21.724 um^2\n",
+ "[INFO GPL-0025] IdealBinArea: 33.422 um^2\n",
+ "[INFO GPL-0026] IdealBinCnt: 10333\n",
+ "[INFO GPL-0027] TotalBinArea: 345352.896 um^2\n",
+ "[INFO GPL-0028] BinCnt: 64 64\n",
+ "[INFO GPL-0029] BinSize: ( 9.210 9.155 )\n",
+ "[INFO GPL-0030] NumBins: 4096\n",
+ "[NesterovSolve] Iter: 1 overflow: 0.914 HPWL: 52538204\n",
+ "[NesterovSolve] Iter: 10 overflow: 0.904 HPWL: 59997250\n",
+ "[NesterovSolve] Iter: 20 overflow: 0.902 HPWL: 60761351\n",
+ "[NesterovSolve] Iter: 30 overflow: 0.902 HPWL: 60696146\n",
+ "[NesterovSolve] Iter: 40 overflow: 0.906 HPWL: 60457860\n",
+ "[NesterovSolve] Iter: 50 overflow: 0.909 HPWL: 60591010\n",
+ "[NesterovSolve] Iter: 60 overflow: 0.914 HPWL: 60761701\n",
+ "[NesterovSolve] Iter: 70 overflow: 0.919 HPWL: 60745045\n",
+ "[NesterovSolve] Iter: 80 overflow: 0.923 HPWL: 60721771\n",
+ "[NesterovSolve] Iter: 90 overflow: 0.929 HPWL: 60736288\n",
+ "[NesterovSolve] Iter: 100 overflow: 0.932 HPWL: 60743564\n",
+ "[NesterovSolve] Iter: 110 overflow: 0.937 HPWL: 60727598\n",
+ "[NesterovSolve] Iter: 120 overflow: 0.940 HPWL: 60724142\n",
+ "[NesterovSolve] Iter: 130 overflow: 0.939 HPWL: 60892896\n",
+ "[NesterovSolve] Iter: 140 overflow: 0.935 HPWL: 61273368\n",
+ "[NesterovSolve] Iter: 150 overflow: 0.924 HPWL: 61862356\n",
+ "[NesterovSolve] Iter: 160 overflow: 0.903 HPWL: 62693859\n",
+ "[NesterovSolve] Iter: 170 overflow: 0.868 HPWL: 63747609\n",
+ "[NesterovSolve] Iter: 180 overflow: 0.818 HPWL: 64459756\n",
+ "[INFO GPL-0100] Timing-driven: executing resizer for reweighting nets.\n",
+ "[INFO GPL-0101] Timing-driven: worst slack 7.89e-09\n",
+ "[INFO GPL-0103] Timing-driven: weighted 799 nets.\n",
+ "[NesterovSolve] Iter: 190 overflow: 0.760 HPWL: 64009317\n",
+ "[NesterovSolve] Iter: 200 overflow: 0.707 HPWL: 65456408\n",
+ "[NesterovSolve] Iter: 210 overflow: 0.654 HPWL: 66313598\n",
+ "[INFO GPL-0100] Timing-driven: executing resizer for reweighting nets.\n",
+ "[INFO GPL-0101] Timing-driven: worst slack 7.9e-09\n",
+ "[INFO GPL-0103] Timing-driven: weighted 806 nets.\n",
+ "[NesterovSolve] Iter: 220 overflow: 0.611 HPWL: 63400074\n",
+ "[NesterovSolve] Snapshot saved at iter = 222\n",
+ "[NesterovSolve] Iter: 230 overflow: 0.557 HPWL: 63170183\n",
+ "[NesterovSolve] Iter: 240 overflow: 0.517 HPWL: 64275875\n",
+ "[NesterovSolve] Iter: 250 overflow: 0.491 HPWL: 64786562\n",
+ "[INFO GPL-0100] Timing-driven: executing resizer for reweighting nets.\n",
+ "[INFO GPL-0101] Timing-driven: worst slack 7.92e-09\n",
+ "[INFO GPL-0103] Timing-driven: weighted 800 nets.\n",
+ "[NesterovSolve] Iter: 260 overflow: 0.456 HPWL: 66476020\n",
+ "[NesterovSolve] Iter: 270 overflow: 0.427 HPWL: 67278005\n",
+ "[NesterovSolve] Iter: 280 overflow: 0.405 HPWL: 69135591\n",
+ "[NesterovSolve] Iter: 290 overflow: 0.375 HPWL: 71285243\n",
+ "[NesterovSolve] Iter: 300 overflow: 0.352 HPWL: 72956417\n",
+ "[NesterovSolve] Iter: 310 overflow: 0.333 HPWL: 74620325\n",
+ "[NesterovSolve] Iter: 320 overflow: 0.312 HPWL: 76836087\n",
+ "[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0\n",
+ "[INFO GPL-0036] TileBBox: ( 0 0 ) ( 7200 7200 ) DBU\n",
+ "[INFO GPL-0038] TileCnt: 190 190\n",
+ "[INFO GPL-0040] NumTiles: 36100\n",
+ "[INFO GPL-0081] TotalRouteOverflow: 0.0512768030166626\n",
+ "[INFO GPL-0082] OverflowTileCnt: 148\n",
+ "[INFO GPL-0083] 0.5%RC: 1.0002832972542357\n",
+ "[INFO GPL-0084] 1.0%RC: 1.0001420410055863\n",
+ "[INFO GPL-0085] 2.0%RC: 1.0000710205027932\n",
+ "[INFO GPL-0086] 5.0%RC: 1.0000284082011173\n",
+ "[INFO GPL-0087] FinalRC: 1.0002127\n",
+ "[INFO GPL-0077] FinalRC lower than targetRC(1.01), routability not needed.\n",
+ "[NesterovSolve] Iter: 330 overflow: 0.288 HPWL: 78405205\n",
+ "[INFO GPL-0100] Timing-driven: executing resizer for reweighting nets.\n",
+ "[INFO GPL-0101] Timing-driven: worst slack 7.92e-09\n",
+ "[INFO GPL-0103] Timing-driven: weighted 803 nets.\n",
+ "[NesterovSolve] Iter: 340 overflow: 0.265 HPWL: 79985563\n",
+ "[NesterovSolve] Iter: 350 overflow: 0.252 HPWL: 81364367\n",
+ "[NesterovSolve] Iter: 360 overflow: 0.233 HPWL: 82438386\n",
+ "[NesterovSolve] Iter: 370 overflow: 0.209 HPWL: 83158405\n",
+ "[INFO GPL-0100] Timing-driven: executing resizer for reweighting nets.\n",
+ "[INFO GPL-0101] Timing-driven: worst slack 7.94e-09\n",
+ "[INFO GPL-0103] Timing-driven: weighted 799 nets.\n",
+ "[NesterovSolve] Iter: 380 overflow: 0.184 HPWL: 83752710\n",
+ "[NesterovSolve] Iter: 390 overflow: 0.158 HPWL: 84248390\n",
+ "[INFO GPL-0100] Timing-driven: executing resizer for reweighting nets.\n",
+ "[INFO GPL-0101] Timing-driven: worst slack 7.92e-09\n",
+ "[INFO GPL-0103] Timing-driven: weighted 803 nets.\n",
+ "[NesterovSolve] Iter: 400 overflow: 0.134 HPWL: 84644935\n",
+ "[NesterovSolve] Iter: 410 overflow: 0.109 HPWL: 84891054\n",
+ "[NesterovSolve] Finished with Overflow: 0.098914\n",
+ "Report metrics stage 5, global place...\n",
+ "Warning: There is 1 output port missing set_output_delay.\n",
+ "Warning: There are 18 unconstrained endpoints.\n",
+ "\n",
+ "==========================================================================\n",
+ "global place report_design_area\n",
+ "--------------------------------------------------------------------------\n",
+ "Design area 138079 u^2 40% utilization.\n",
+ "Elapsed time: 3:08.02[h:]min:sec. CPU time: user 186.11 sys 0.21 (99%). Peak memory: 171200KB.\n",
+ "Running resize.tcl, stage 3_4_place_resized\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "Perform port buffering...\n",
+ "Perform buffer insertion...\n",
+ "[INFO RSZ-0058] Using max wire length 16273um.\n",
+ "[INFO RSZ-0034] Found 7 slew violations.\n",
+ "[INFO RSZ-0035] Found 125 fanout violations.\n",
+ "[INFO RSZ-0038] Inserted 788 buffers in 132 nets.\n",
+ "[INFO RSZ-0039] Resized 540 instances.\n",
+ "Repair tie lo fanout...\n",
+ "Repair tie hi fanout...\n",
+ "[INFO RSZ-0042] Inserted 1703 tie sg13g2_tiehi instances.\n",
+ "Floating nets: \n",
+ "[WARNING RSZ-0020] found 4 floating nets.\n",
+ "Report metrics stage 3, resizer...\n",
+ "Warning: There is 1 output port missing set_output_delay.\n",
+ "Warning: There are 18 unconstrained endpoints.\n",
+ "\n",
+ "==========================================================================\n",
+ "resizer report_design_area\n",
+ "--------------------------------------------------------------------------\n",
+ "Design area 157784 u^2 46% utilization.\n",
+ "Instance count before 6784, after 9274\n",
+ "Pin count before 25068, after 28346\n",
+ "Elapsed time: 0:06.41[h:]min:sec. CPU time: user 6.24 sys 0.10 (99%). Peak memory: 130012KB.\n",
+ "Running detail_place.tcl, stage 3_5_place_dp\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "Placement Analysis\n",
+ "---------------------------------\n",
+ "total displacement 40435.9 u\n",
+ "average displacement 4.6 u\n",
+ "max displacement 13.7 u\n",
+ "original HPWL 133322.7 u\n",
+ "legalized HPWL 171796.9 u\n",
+ "delta HPWL 29 %\n",
+ "\n",
+ "Detailed placement improvement.\n",
+ "Importing netlist into detailed improver.\n",
+ "[INFO DPO-0100] Creating network with 8846 cells, 28 terminals, 10595 edges, 28286 pins, and 0 blockages.\n",
+ "[INFO DPO-0109] Network stats: inst 8874, edges 10595, pins 28286\n",
+ "[INFO DPO-0110] Number of regions is 1\n",
+ "[INFO DPO-0401] Setting random seed to 1.\n",
+ "[INFO DPO-0402] Setting maximum displacement 5 1 to 18900 3780 units.\n",
+ "[INFO DPO-0320] Collected 28 fixed cells.\n",
+ "[INFO DPO-0318] Collected 8846 single height cells.\n",
+ "[INFO DPO-0321] Collected 0 wide cells.\n",
+ "[INFO DPO-0322] Image (390240, 393120) - (979680, 979020)\n",
+ "[INFO DPO-0310] Assigned 8846 cells into segments. Movement in X-direction is 0.000000, movement in Y-direction is 0.000000.\n",
+ "[INFO DPO-0313] Found 0 cells in wrong regions.\n",
+ "[INFO DPO-0315] Found 0 row alignment problems.\n",
+ "[INFO DPO-0314] Found 0 site alignment problems.\n",
+ "[INFO DPO-0311] Found 0 overlaps between adjacent cells.\n",
+ "[INFO DPO-0312] Found 0 edge spacing violations and 0 padding violations.\n",
+ "[INFO DPO-0303] Running algorithm for independent set matching.\n",
+ "[INFO DPO-0300] Set matching objective is wirelength.\n",
+ "[INFO DPO-0301] Pass 1 of matching; objective is 1.606132e+08.\n",
+ "[INFO DPO-0302] End of matching; objective is 1.599695e+08, improvement is 0.40 percent.\n",
+ "[INFO DPO-0303] Running algorithm for global swaps.\n",
+ "[INFO DPO-0306] Pass 1 of global swaps; hpwl is 1.542009e+08.\n",
+ "[INFO DPO-0306] Pass 2 of global swaps; hpwl is 1.532214e+08.\n",
+ "[INFO DPO-0307] End of global swaps; objective is 1.532214e+08, improvement is 4.22 percent.\n",
+ "[INFO DPO-0303] Running algorithm for vertical swaps.\n",
+ "[INFO DPO-0308] Pass 1 of vertical swaps; hpwl is 1.521296e+08.\n",
+ "[INFO DPO-0309] End of vertical swaps; objective is 1.521296e+08, improvement is 0.71 percent.\n",
+ "[INFO DPO-0303] Running algorithm for reordering.\n",
+ "[INFO DPO-0304] Pass 1 of reordering; objective is 1.500102e+08.\n",
+ "[INFO DPO-0304] Pass 2 of reordering; objective is 1.496132e+08.\n",
+ "[INFO DPO-0305] End of reordering; objective is 1.496132e+08, improvement is 1.65 percent.\n",
+ "[INFO DPO-0303] Running algorithm for random improvement.\n",
+ "[INFO DPO-0324] Random improver is using displacement generator.\n",
+ "[INFO DPO-0325] Random improver is using hpwl objective.\n",
+ "[INFO DPO-0326] Random improver cost string is (a).\n",
+ "[INFO DPO-0332] End of pass, Generator displacement called 176920 times.\n",
+ "[INFO DPO-0335] Generator displacement, Cumulative attempts 176920, swaps 29983, moves 34122 since last reset.\n",
+ "[INFO DPO-0333] End of pass, Objective hpwl, Initial cost 1.485690e+08, Scratch cost 1.451032e+08, Incremental cost 1.451032e+08, Mismatch? N\n",
+ "[INFO DPO-0338] End of pass, Total cost is 1.451032e+08.\n",
+ "[INFO DPO-0327] Pass 1 of random improver; improvement in cost is 2.33 percent.\n",
+ "[INFO DPO-0332] End of pass, Generator displacement called 176920 times.\n",
+ "[INFO DPO-0335] Generator displacement, Cumulative attempts 353840, swaps 58729, moves 68899 since last reset.\n",
+ "[INFO DPO-0333] End of pass, Objective hpwl, Initial cost 1.451032e+08, Scratch cost 1.437874e+08, Incremental cost 1.437874e+08, Mismatch? N\n",
+ "[INFO DPO-0338] End of pass, Total cost is 1.437874e+08.\n",
+ "[INFO DPO-0327] Pass 2 of random improver; improvement in cost is 0.91 percent.\n",
+ "[INFO DPO-0328] End of random improver; improvement is 3.218472 percent.\n",
+ "[INFO DPO-0380] Cell flipping.\n",
+ "[INFO DPO-0382] Changed 4424 cell orientations for row compatibility.\n",
+ "[INFO DPO-0383] Performed 3426 cell flips.\n",
+ "[INFO DPO-0384] End of flipping; objective is 1.370762e+08, improvement is 5.36 percent.\n",
+ "[INFO DPO-0313] Found 0 cells in wrong regions.\n",
+ "[INFO DPO-0315] Found 0 row alignment problems.\n",
+ "[INFO DPO-0314] Found 0 site alignment problems.\n",
+ "[INFO DPO-0311] Found 0 overlaps between adjacent cells.\n",
+ "[INFO DPO-0312] Found 0 edge spacing violations and 0 padding violations.\n",
+ "Detailed Improvement Results\n",
+ "------------------------------------------\n",
+ "Original HPWL 171796.9 u\n",
+ "Final HPWL 147852.8 u\n",
+ "Delta HPWL -13.9 %\n",
+ "\n",
+ "[INFO DPL-0020] Mirrored 370 instances\n",
+ "[INFO DPL-0021] HPWL before 147852.8 u\n",
+ "[INFO DPL-0022] HPWL after 147715.0 u\n",
+ "[INFO DPL-0023] HPWL delta -0.1 %\n",
+ "[INFO FLW-0012] Placement violations .\n",
+ "Report metrics stage 3, detailed place...\n",
+ "Warning: There is 1 output port missing set_output_delay.\n",
+ "Warning: There are 18 unconstrained endpoints.\n",
+ "\n",
+ "==========================================================================\n",
+ "detailed place report_design_area\n",
+ "--------------------------------------------------------------------------\n",
+ "Design area 157784 u^2 46% utilization.\n",
+ "Elapsed time: 0:10.19[h:]min:sec. CPU time: user 9.91 sys 0.07 (98%). Peak memory: 135352KB.\n",
+ "cp ./results/ihp-sg13g2/martin/base/3_5_place_dp.odb ./results/ihp-sg13g2/martin/base/3_place.odb\n",
+ "cp ./results/ihp-sg13g2/martin/base/2_floorplan.sdc ./results/ihp-sg13g2/martin/base/3_place.sdc\n",
+ "Running cts.tcl, stage 4_1_cts\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "clock_tree_synthesis -sink_clustering_enable -balance_levels\n",
+ "[INFO CTS-0050] Root buffer is sg13g2_buf_2.\n",
+ "[INFO CTS-0051] Sink buffer is sg13g2_buf_4.\n",
+ "[INFO CTS-0052] The following clock buffers will be used for CTS:\n",
+ " sg13g2_buf_2\n",
+ " sg13g2_buf_4\n",
+ "[INFO CTS-0049] Characterization buffer is sg13g2_buf_4.\n",
+ "[INFO CTS-0007] Net \"clk_pad\" found for clock \"clk\".\n",
+ "[INFO CTS-0116] Special net \"clk_pad\" skipped.\n",
+ "[INFO CTS-0010] Clock net \"clk_p2c\" has 1703 sinks.\n",
+ "[INFO CTS-0008] TritonCTS found 1 clock nets.\n",
+ "[INFO CTS-0097] Characterization used 2 buffer(s) types.\n",
+ "[INFO CTS-0200] 0 placement blockages have been identified.\n",
+ "[INFO CTS-0201] 0 placed hard macros will be treated like blockages.\n",
+ "[INFO CTS-0027] Generating H-Tree topology for net clk_p2c.\n",
+ "[INFO CTS-0028] Total number of sinks: 1703.\n",
+ "[INFO CTS-0090] Sinks will be clustered based on buffer max cap.\n",
+ "[INFO CTS-0030] Number of static layers: 0.\n",
+ "[INFO CTS-0020] Wire segment unit: 18900 dbu (18 um).\n",
+ "[INFO CTS-0206] Best clustering solution was found from clustering size of 30 and clustering diameter of 50.\n",
+ "[INFO CTS-0019] Total number of sinks after clustering: 295.\n",
+ "[INFO CTS-0024] Normalized sink region: [(21.1515, 25.5013), (49.387, 51.2438)].\n",
+ "[INFO CTS-0025] Width: 28.2355.\n",
+ "[INFO CTS-0026] Height: 25.7425.\n",
+ " Level 1\n",
+ " Direction: Horizontal\n",
+ " Sinks per sub-region: 148\n",
+ " Sub-region size: 14.1178 X 25.7425\n",
+ "[INFO CTS-0034] Segment length (rounded): 8.\n",
+ " Level 2\n",
+ " Direction: Vertical\n",
+ " Sinks per sub-region: 74\n",
+ " Sub-region size: 14.1178 X 12.8712\n",
+ "[INFO CTS-0034] Segment length (rounded): 6.\n",
+ " Level 3\n",
+ " Direction: Horizontal\n",
+ " Sinks per sub-region: 37\n",
+ " Sub-region size: 7.0589 X 12.8712\n",
+ "[INFO CTS-0034] Segment length (rounded): 4.\n",
+ " Level 4\n",
+ " Direction: Vertical\n",
+ " Sinks per sub-region: 19\n",
+ " Sub-region size: 7.0589 X 6.4356\n",
+ "[INFO CTS-0034] Segment length (rounded): 4.\n",
+ " Level 5\n",
+ " Direction: Horizontal\n",
+ " Sinks per sub-region: 10\n",
+ " Sub-region size: 3.5294 X 6.4356\n",
+ "[INFO CTS-0034] Segment length (rounded): 2.\n",
+ "[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.\n",
+ "[INFO CTS-0035] Number of sinks covered: 295.\n",
+ "[INFO CTS-0018] Created 344 clock buffers.\n",
+ "[INFO CTS-0012] Minimum number of buffers in the clock path: 4.\n",
+ "[INFO CTS-0013] Maximum number of buffers in the clock path: 4.\n",
+ "[INFO CTS-0015] Created 344 clock nets.\n",
+ "[INFO CTS-0016] Fanout distribution for the current clock = 3:2, 4:12, 5:74, 6:173, 7:39, 8:5, 9:9, 10:5, 11:5, 12:2, 13:1..\n",
+ "[INFO CTS-0017] Max level of the clock tree: 5.\n",
+ "[INFO CTS-0098] Clock net \"clk_p2c\"\n",
+ "[INFO CTS-0099] Sinks 1926\n",
+ "[INFO CTS-0100] Leaf buffers 295\n",
+ "[INFO CTS-0101] Average sink wire length 983.08 um\n",
+ "[INFO CTS-0102] Path depth 3 - 4\n",
+ "[INFO CTS-0207] Leaf load cells 223\n",
+ "[INFO RSZ-0058] Using max wire length 16273um.\n",
+ "Placement Analysis\n",
+ "---------------------------------\n",
+ "total displacement 4102.2 u\n",
+ "average displacement 0.4 u\n",
+ "max displacement 13.0 u\n",
+ "original HPWL 167785.1 u\n",
+ "legalized HPWL 178355.6 u\n",
+ "delta HPWL 6 %\n",
+ "\n",
+ "repair_timing -verbose -repair_tns 100\n",
+ "[INFO RSZ-0098] No setup violations found\n",
+ "[INFO RSZ-0033] No hold violations found.\n",
+ "Placement Analysis\n",
+ "---------------------------------\n",
+ "total displacement 0.0 u\n",
+ "average displacement 0.0 u\n",
+ "max displacement 0.0 u\n",
+ "original HPWL 178355.6 u\n",
+ "legalized HPWL 178355.6 u\n",
+ "delta HPWL 0 %\n",
+ "\n",
+ "Report metrics stage 4, cts final...\n",
+ "Warning: There is 1 output port missing set_output_delay.\n",
+ "Warning: There are 18 unconstrained endpoints.\n",
+ "\n",
+ "==========================================================================\n",
+ "cts final report_design_area\n",
+ "--------------------------------------------------------------------------\n",
+ "Design area 164328 u^2 48% utilization.\n",
+ "Elapsed time: 0:11.65[h:]min:sec. CPU time: user 11.45 sys 0.08 (98%). Peak memory: 150192KB.\n",
+ "cp ./results/ihp-sg13g2/martin/base/4_1_cts.odb ./results/ihp-sg13g2/martin/base/4_cts.odb\n",
+ "Running global_route.tcl, stage 5_1_grt\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "global_route -congestion_report_file ./reports/ihp-sg13g2/martin/base/congestion.rpt -congestion_iterations 30 -congestion_report_iter_step 5 -verbose\n",
+ "[INFO GRT-0020] Min routing layer: Metal2\n",
+ "[INFO GRT-0021] Max routing layer: Metal5\n",
+ "[INFO GRT-0022] Global adjustment: 0%\n",
+ "[INFO GRT-0023] Grid origin: (0, 0)\n",
+ "[INFO GRT-0043] No OR_DEFAULT vias defined.\n",
+ "[INFO GRT-0088] Layer Metal1 Track-Pitch = 0.4800 line-2-Via Pitch: 0.3650\n",
+ "[INFO GRT-0088] Layer Metal2 Track-Pitch = 0.4200 line-2-Via Pitch: 0.4100\n",
+ "[INFO GRT-0088] Layer Metal3 Track-Pitch = 0.4800 line-2-Via Pitch: 0.4100\n",
+ "[INFO GRT-0088] Layer Metal4 Track-Pitch = 0.4200 line-2-Via Pitch: 0.4100\n",
+ "[INFO GRT-0088] Layer Metal5 Track-Pitch = 3.4800 line-2-Via Pitch: 0.4100\n",
+ "[INFO GRT-0019] Found 346 clock nets.\n",
+ "[INFO GRT-0001] Minimum degree: 2\n",
+ "[INFO GRT-0002] Maximum degree: 17\n",
+ "[INFO GRT-0003] Macros: 0\n",
+ "[INFO GRT-0043] No OR_DEFAULT vias defined.\n",
+ "[INFO GRT-0004] Blockages: 1714\n",
+ "\n",
+ "[INFO GRT-0053] Routing resources analysis:\n",
+ " Routing Original Derated Resource\n",
+ "Layer Direction Resources Resources Reduction (%)\n",
+ "---------------------------------------------------------------\n",
+ "Metal1 Vertical 0 0 0.00%\n",
+ "Metal2 Horizontal 614460 320381 47.86%\n",
+ "Metal3 Vertical 542260 285110 47.42%\n",
+ "Metal4 Horizontal 614460 324835 47.13%\n",
+ "Metal5 Vertical 72200 18670 74.14%\n",
+ "---------------------------------------------------------------\n",
+ "\n",
+ "[INFO GRT-0197] Via related to pin nodes: 44936\n",
+ "[INFO GRT-0198] Via related Steiner nodes: 806\n",
+ "[INFO GRT-0199] Via filling finished.\n",
+ "[INFO GRT-0111] Final number of vias: 55298\n",
+ "[INFO GRT-0112] Final usage 3D: 193598\n",
+ "\n",
+ "[INFO GRT-0096] Final congestion report:\n",
+ "Layer Resource Demand Usage (%) Max H / Max V / Total Overflow\n",
+ "---------------------------------------------------------------------------------------\n",
+ "Metal1 0 0 0.00% 0 / 0 / 0\n",
+ "Metal2 320381 13952 4.35% 0 / 0 / 0\n",
+ "Metal3 285110 13726 4.81% 0 / 0 / 0\n",
+ "Metal4 324835 8 0.00% 0 / 0 / 0\n",
+ "Metal5 18670 18 0.10% 0 / 0 / 0\n",
+ "---------------------------------------------------------------------------------------\n",
+ "Total 948996 27704 2.92% 0 / 0 / 0\n",
+ "\n",
+ "[INFO GRT-0018] Total wirelength: 335426 um\n",
+ "[INFO GRT-0014] Routed nets: 9208\n",
+ "Perform buffer insertion...\n",
+ "[INFO RSZ-0058] Using max wire length 16273um.\n",
+ "[INFO RSZ-0034] Found 16 slew violations.\n",
+ "[INFO RSZ-0039] Resized 7 instances.\n",
+ "Placement Analysis\n",
+ "---------------------------------\n",
+ "total displacement 71.7 u\n",
+ "average displacement 0.0 u\n",
+ "max displacement 11.0 u\n",
+ "original HPWL 178358.4 u\n",
+ "legalized HPWL 178420.8 u\n",
+ "delta HPWL 0 %\n",
+ "\n",
+ "Repair setup and hold violations...\n",
+ "repair_timing -verbose\n",
+ "[INFO RSZ-0098] No setup violations found\n",
+ "[INFO RSZ-0033] No hold violations found.\n",
+ "Placement Analysis\n",
+ "---------------------------------\n",
+ "total displacement 0.0 u\n",
+ "average displacement 0.0 u\n",
+ "max displacement 0.0 u\n",
+ "original HPWL 178420.8 u\n",
+ "legalized HPWL 178420.8 u\n",
+ "delta HPWL 0 %\n",
+ "\n",
+ "Repair antennas...\n",
+ "[INFO GRT-0012] Found 9 antenna violations.\n",
+ "[INFO GRT-0015] Inserted 51 diodes.\n",
+ "[INFO GRT-0054] Using detailed placer to place 8 diodes.\n",
+ "[INFO GRT-0012] Found 8 antenna violations.\n",
+ "[INFO GRT-0015] Inserted 39 diodes.\n",
+ "[INFO GRT-0054] Using detailed placer to place 5 diodes.\n",
+ "[INFO GRT-0012] Found 6 antenna violations.\n",
+ "[INFO GRT-0015] Inserted 21 diodes.\n",
+ "[INFO GRT-0054] Using detailed placer to place 4 diodes.\n",
+ "[INFO GRT-0012] Found 6 antenna violations.\n",
+ "[INFO GRT-0015] Inserted 21 diodes.\n",
+ "[INFO GRT-0054] Using detailed placer to place 4 diodes.\n",
+ "[INFO GRT-0012] Found 6 antenna violations.\n",
+ "[INFO GRT-0015] Inserted 23 diodes.\n",
+ "[INFO GRT-0054] Using detailed placer to place 4 diodes.\n",
+ "[INFO ANT-0002] Found 6 net violations.\n",
+ "[INFO ANT-0001] Found 6 pin violations.\n",
+ "Estimate parasitics...\n",
+ "Report metrics stage 5, global route...\n",
+ "Warning: There is 1 output port missing set_output_delay.\n",
+ "Warning: There are 18 unconstrained endpoints.\n",
+ "\n",
+ "==========================================================================\n",
+ "global route report_design_area\n",
+ "--------------------------------------------------------------------------\n",
+ "Design area 165274 u^2 48% utilization.\n",
+ "[INFO FLW-0007] clock clk period 20.000000\n",
+ "[INFO FLW-0008] Clock clk period 11.758\n",
+ "[INFO FLW-0009] Clock clk slack 7.623\n",
+ "[INFO FLW-0011] Path endpoint count 3822\n",
+ "Elapsed time: 0:24.53[h:]min:sec. CPU time: user 33.69 sys 0.39 (138%). Peak memory: 275368KB.\n",
+ "Running fillcell.tcl, stage 5_2_fillcell\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "[INFO DPL-0001] Placed 18611 filler instances.\n",
+ "Elapsed time: 0:00.73[h:]min:sec. CPU time: user 0.62 sys 0.08 (95%). Peak memory: 116756KB.\n",
+ "Running detail_route.tcl, stage 5_3_route\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "detailed_route -output_drc ./reports/ihp-sg13g2/martin/base/5_route_drc.rpt -output_maze ./results/ihp-sg13g2/martin/base/maze.log -bottom_routing_layer Metal2 -top_routing_layer Metal5 -save_guide_updates -verbose 1 -drc_report_iter_step 5\n",
+ "[INFO DRT-0149] Reading tech and libs.\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer Cont\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer Via1\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer Via1\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer Via2\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer Via3\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer Via4\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer TopVia1\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer TopVia1\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer TopVia2\n",
+ "[WARNING DRT-0349] LEF58_ENCLOSURE with no CUTCLASS is not supported. Skipping for layer TopVia2\n",
+ "\n",
+ "Units: 1000\n",
+ "Number of layers: 15\n",
+ "Number of macros: 101\n",
+ "Number of vias: 323\n",
+ "Number of viarulegen: 6\n",
+ "\n",
+ "[INFO DRT-0150] Reading design.\n",
+ "\n",
+ "Design: martin_top\n",
+ "Die area: ( 0 0 ) ( 1370000 1370000 )\n",
+ "Number of track patterns: 14\n",
+ "Number of DEF vias: 0\n",
+ "Number of components: 28607\n",
+ "Number of terminals: 32\n",
+ "Number of snets: 34\n",
+ "Number of nets: 10911\n",
+ "\n",
+ "[INFO DRT-0167] List of default vias:\n",
+ " Layer Via2\n",
+ " default via: Via2_YX_so\n",
+ " Layer Via3\n",
+ " default via: Via3_YX_so\n",
+ " Layer Via4\n",
+ " default via: Via4_YX_so\n",
+ " Layer TopVia1\n",
+ " default via: TopVia1EWNS\n",
+ " Layer TopVia2\n",
+ " default via: TopVia2EWNS\n",
+ "[INFO DRT-0162] Library cell analysis.\n",
+ "[INFO DRT-0163] Instance analysis.\n",
+ "[INFO DRT-0164] Number of unique instances = 519.\n",
+ "[INFO DRT-0168] Init region query.\n",
+ "[INFO DRT-0024] Complete GatPoly.\n",
+ "[INFO DRT-0024] Complete Cont.\n",
+ "[INFO DRT-0024] Complete Metal1.\n",
+ "[INFO DRT-0024] Complete Via1.\n",
+ "[INFO DRT-0024] Complete Metal2.\n",
+ "[INFO DRT-0024] Complete Via2.\n",
+ "[INFO DRT-0024] Complete Metal3.\n",
+ "[INFO DRT-0024] Complete Via3.\n",
+ "[INFO DRT-0024] Complete Metal4.\n",
+ "[INFO DRT-0024] Complete Via4.\n",
+ "[INFO DRT-0024] Complete Metal5.\n",
+ "[INFO DRT-0024] Complete TopVia1.\n",
+ "[INFO DRT-0024] Complete TopMetal1.\n",
+ "[INFO DRT-0024] Complete TopVia2.\n",
+ "[INFO DRT-0024] Complete TopMetal2.\n",
+ "[INFO DRT-0033] GatPoly shape region query size = 0.\n",
+ "[INFO DRT-0033] Cont shape region query size = 0.\n",
+ "[INFO DRT-0033] Metal1 shape region query size = 474301.\n",
+ "[INFO DRT-0033] Via1 shape region query size = 34553.\n",
+ "[INFO DRT-0033] Metal2 shape region query size = 10456.\n",
+ "[INFO DRT-0033] Via2 shape region query size = 29412.\n",
+ "[INFO DRT-0033] Metal3 shape region query size = 6440.\n",
+ "[INFO DRT-0033] Via3 shape region query size = 29016.\n",
+ "[INFO DRT-0033] Metal4 shape region query size = 6412.\n",
+ "[INFO DRT-0033] Via4 shape region query size = 29016.\n",
+ "[INFO DRT-0033] Metal5 shape region query size = 5098.\n",
+ "[INFO DRT-0033] TopVia1 shape region query size = 28197.\n",
+ "[INFO DRT-0033] TopMetal1 shape region query size = 3804.\n",
+ "[INFO DRT-0033] TopVia2 shape region query size = 9038.\n",
+ "[INFO DRT-0033] TopMetal2 shape region query size = 2874.\n",
+ "[INFO DRT-0165] Start pin access.\n",
+ "[INFO DRT-0078] Complete 306 pins.\n",
+ "[INFO DRT-0081] Complete 87 unique inst patterns.\n",
+ "[INFO DRT-0084] Complete 4863 groups.\n",
+ "#scanned instances = 28607\n",
+ "#unique instances = 163\n",
+ "#stdCellGenAp = 3105\n",
+ "#stdCellValidPlanarAp = 32\n",
+ "#stdCellValidViaAp = 2151\n",
+ "#stdCellPinNoAp = 0\n",
+ "#stdCellPinCnt = 29169\n",
+ "#instTermValidViaApCnt = 0\n",
+ "#macroGenAp = 3530\n",
+ "#macroValidPlanarAp = 162\n",
+ "#macroValidViaAp = 48\n",
+ "#macroNoAp = 0\n",
+ "[INFO DRT-0166] Complete pin access.\n",
+ "[INFO DRT-0267] cpu time = 00:01:57, elapsed time = 00:01:10, memory = 171.61 (MB), peak = 182.70 (MB)\n",
+ "\n",
+ "Number of guides: 60275\n",
+ "\n",
+ "[INFO DRT-0169] Post process guides.\n",
+ "[INFO DRT-0176] GCELLGRID X 0 DO 190 STEP 7200 ;\n",
+ "[INFO DRT-0177] GCELLGRID Y 0 DO 190 STEP 7200 ;\n",
+ "[INFO DRT-0028] Complete GatPoly.\n",
+ "[INFO DRT-0028] Complete Cont.\n",
+ "[INFO DRT-0028] Complete Metal1.\n",
+ "[INFO DRT-0028] Complete Via1.\n",
+ "[INFO DRT-0028] Complete Metal2.\n",
+ "[INFO DRT-0028] Complete Via2.\n",
+ "[INFO DRT-0028] Complete Metal3.\n",
+ "[INFO DRT-0028] Complete Via3.\n",
+ "[INFO DRT-0028] Complete Metal4.\n",
+ "[INFO DRT-0028] Complete Via4.\n",
+ "[INFO DRT-0028] Complete Metal5.\n",
+ "[INFO DRT-0028] Complete TopVia1.\n",
+ "[INFO DRT-0028] Complete TopMetal1.\n",
+ "[INFO DRT-0028] Complete TopVia2.\n",
+ "[INFO DRT-0028] Complete TopMetal2.\n",
+ "[INFO DRT-0178] Init guide query.\n",
+ "[INFO DRT-0035] Complete GatPoly (guide).\n",
+ "[INFO DRT-0035] Complete Cont (guide).\n",
+ "[INFO DRT-0035] Complete Metal1 (guide).\n",
+ "[INFO DRT-0035] Complete Via1 (guide).\n",
+ "[INFO DRT-0035] Complete Metal2 (guide).\n",
+ "[INFO DRT-0035] Complete Via2 (guide).\n",
+ "[INFO DRT-0035] Complete Metal3 (guide).\n",
+ "[INFO DRT-0035] Complete Via3 (guide).\n",
+ "[INFO DRT-0035] Complete Metal4 (guide).\n",
+ "[INFO DRT-0035] Complete Via4 (guide).\n",
+ "[INFO DRT-0035] Complete Metal5 (guide).\n",
+ "[INFO DRT-0035] Complete TopVia1 (guide).\n",
+ "[INFO DRT-0035] Complete TopMetal1 (guide).\n",
+ "[INFO DRT-0035] Complete TopVia2 (guide).\n",
+ "[INFO DRT-0035] Complete TopMetal2 (guide).\n",
+ "[INFO DRT-0036] GatPoly guide region query size = 0.\n",
+ "[INFO DRT-0036] Cont guide region query size = 0.\n",
+ "[INFO DRT-0036] Metal1 guide region query size = 21923.\n",
+ "[INFO DRT-0036] Via1 guide region query size = 0.\n",
+ "[INFO DRT-0036] Metal2 guide region query size = 17254.\n",
+ "[INFO DRT-0036] Via2 guide region query size = 0.\n",
+ "[INFO DRT-0036] Metal3 guide region query size = 8641.\n",
+ "[INFO DRT-0036] Via3 guide region query size = 0.\n",
+ "[INFO DRT-0036] Metal4 guide region query size = 5.\n",
+ "[INFO DRT-0036] Via4 guide region query size = 0.\n",
+ "[INFO DRT-0036] Metal5 guide region query size = 2.\n",
+ "[INFO DRT-0036] TopVia1 guide region query size = 0.\n",
+ "[INFO DRT-0036] TopMetal1 guide region query size = 0.\n",
+ "[INFO DRT-0036] TopVia2 guide region query size = 0.\n",
+ "[INFO DRT-0036] TopMetal2 guide region query size = 0.\n",
+ "[INFO DRT-0179] Init gr pin query.\n",
+ "[INFO DRT-0185] Post process initialize RPin region query.\n",
+ "[INFO DRT-0181] Start track assignment.\n",
+ "[INFO DRT-0184] Done with 30566 vertical wires in 4 frboxes and 17259 horizontal wires in 4 frboxes.\n",
+ "[INFO DRT-0186] Done with 3917 vertical wires in 4 frboxes and 4856 horizontal wires in 4 frboxes.\n",
+ "[INFO DRT-0182] Complete track assignment.\n",
+ "[INFO DRT-0267] cpu time = 00:00:15, elapsed time = 00:00:10, memory = 402.19 (MB), peak = 402.19 (MB)\n",
+ "[INFO DRT-0187] Start routing data preparation.\n",
+ "[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 402.19 (MB), peak = 402.19 (MB)\n",
+ "[INFO DRT-0194] Start detail routing.\n",
+ "[INFO DRT-0195] Start 0th optimization iteration.\n",
+ " Completing 10% with 0 violations.\n",
+ " elapsed time = 00:00:05, memory = 362.16 (MB).\n",
+ " Completing 20% with 0 violations.\n",
+ " elapsed time = 00:00:54, memory = 531.16 (MB).\n",
+ " Completing 30% with 465 violations.\n",
+ " elapsed time = 00:01:19, memory = 456.37 (MB).\n",
+ " Completing 40% with 465 violations.\n",
+ " elapsed time = 00:01:34, memory = 532.05 (MB).\n",
+ " Completing 50% with 465 violations.\n",
+ " elapsed time = 00:02:45, memory = 456.65 (MB).\n",
+ " Completing 60% with 962 violations.\n",
+ " elapsed time = 00:02:51, memory = 483.20 (MB).\n",
+ " Completing 70% with 962 violations.\n",
+ " elapsed time = 00:03:39, memory = 581.53 (MB).\n",
+ " Completing 80% with 1415 violations.\n",
+ " elapsed time = 00:03:50, memory = 473.65 (MB).\n",
+ " Completing 90% with 1415 violations.\n",
+ " elapsed time = 00:04:05, memory = 586.15 (MB).\n",
+ " Completing 100% with 1873 violations.\n",
+ " elapsed time = 00:05:27, memory = 482.29 (MB).\n",
+ "[INFO DRT-0199] Number of violations = 2684.\n",
+ "Viol/Layer Metal1 Via1 Metal2 Via2 Metal3 Metal4 Metal5\n",
+ "Cut Spacing 0 1 0 0 0 0 0\n",
+ "Metal Spacing 38 0 746 0 27 1 0\n",
+ "Recheck 1 0 495 0 305 9 1\n",
+ "Short 0 1 1009 4 45 1 0\n",
+ "[INFO DRT-0267] cpu time = 00:07:43, elapsed time = 00:05:28, memory = 769.23 (MB), peak = 769.23 (MB)\n",
+ "Total wire length = 206916 um.\n",
+ "Total wire length on LAYER Metal1 = 0 um.\n",
+ "Total wire length on LAYER Metal2 = 109093 um.\n",
+ "Total wire length on LAYER Metal3 = 94852 um.\n",
+ "Total wire length on LAYER Metal4 = 2052 um.\n",
+ "Total wire length on LAYER Metal5 = 917 um.\n",
+ "Total wire length on LAYER TopMetal1 = 0 um.\n",
+ "Total wire length on LAYER TopMetal2 = 0 um.\n",
+ "Total number of vias = 49904.\n",
+ "Up-via summary (total 49904):\n",
+ "\n",
+ "-------------------\n",
+ " GatPoly 0\n",
+ " Metal1 25922\n",
+ " Metal2 23303\n",
+ " Metal3 641\n",
+ " Metal4 38\n",
+ " Metal5 0\n",
+ " TopMetal1 0\n",
+ "-------------------\n",
+ " 49904\n",
+ "\n",
+ "\n",
+ "[INFO DRT-0195] Start 1st optimization iteration.\n",
+ " Completing 10% with 2684 violations.\n",
+ " elapsed time = 00:00:05, memory = 781.09 (MB).\n",
+ " Completing 20% with 2684 violations.\n",
+ " elapsed time = 00:01:04, memory = 812.83 (MB).\n",
+ " Completing 30% with 2240 violations.\n",
+ " elapsed time = 00:01:04, memory = 812.83 (MB).\n",
+ " Completing 40% with 2240 violations.\n",
+ " elapsed time = 00:01:20, memory = 812.83 (MB).\n",
+ " Completing 50% with 2240 violations.\n",
+ " elapsed time = 00:02:15, memory = 812.83 (MB).\n",
+ " Completing 60% with 1889 violations.\n",
+ " elapsed time = 00:02:22, memory = 812.83 (MB).\n",
+ " Completing 70% with 1889 violations.\n",
+ " elapsed time = 00:03:11, memory = 870.06 (MB).\n",
+ " Completing 80% with 1388 violations.\n",
+ " elapsed time = 00:03:28, memory = 815.16 (MB).\n",
+ " Completing 90% with 1388 violations.\n",
+ " elapsed time = 00:03:43, memory = 815.16 (MB).\n",
+ " Completing 100% with 899 violations.\n",
+ " elapsed time = 00:05:00, memory = 815.16 (MB).\n",
+ "[INFO DRT-0199] Number of violations = 904.\n",
+ "Viol/Layer Metal2 Metal3\n",
+ "Metal Spacing 387 11\n",
+ "Recheck 5 0\n",
+ "Short 482 19\n",
+ "[INFO DRT-0267] cpu time = 00:07:06, elapsed time = 00:05:01, memory = 816.20 (MB), peak = 879.36 (MB)\n",
+ "Total wire length = 205205 um.\n",
+ "Total wire length on LAYER Metal1 = 0 um.\n",
+ "Total wire length on LAYER Metal2 = 107997 um.\n",
+ "Total wire length on LAYER Metal3 = 93988 um.\n",
+ "Total wire length on LAYER Metal4 = 2214 um.\n",
+ "Total wire length on LAYER Metal5 = 1005 um.\n",
+ "Total wire length on LAYER TopMetal1 = 0 um.\n",
+ "Total wire length on LAYER TopMetal2 = 0 um.\n",
+ "Total number of vias = 49528.\n",
+ "Up-via summary (total 49528):\n",
+ "\n",
+ "-------------------\n",
+ " GatPoly 0\n",
+ " Metal1 25918\n",
+ " Metal2 22889\n",
+ " Metal3 679\n",
+ " Metal4 42\n",
+ " Metal5 0\n",
+ " TopMetal1 0\n",
+ "-------------------\n",
+ " 49528\n",
+ "\n",
+ "\n",
+ "[INFO DRT-0195] Start 2nd optimization iteration.\n",
+ " Completing 10% with 904 violations.\n",
+ " elapsed time = 00:00:00, memory = 816.20 (MB).\n",
+ " Completing 20% with 904 violations.\n",
+ " elapsed time = 00:00:26, memory = 883.48 (MB).\n",
+ " Completing 30% with 874 violations.\n",
+ " elapsed time = 00:00:46, memory = 816.20 (MB).\n",
+ " Completing 40% with 874 violations.\n",
+ " elapsed time = 00:00:51, memory = 816.20 (MB).\n",
+ " Completing 50% with 874 violations.\n",
+ " elapsed time = 00:01:34, memory = 820.06 (MB).\n",
+ " Completing 60% with 837 violations.\n",
+ " elapsed time = 00:01:37, memory = 820.06 (MB).\n",
+ " Completing 70% with 837 violations.\n",
+ " elapsed time = 00:02:31, memory = 820.14 (MB).\n",
+ " Completing 80% with 830 violations.\n",
+ " elapsed time = 00:02:49, memory = 820.14 (MB).\n",
+ " Completing 90% with 830 violations.\n",
+ " elapsed time = 00:02:57, memory = 820.14 (MB).\n",
+ " Completing 100% with 853 violations.\n",
+ " elapsed time = 00:03:53, memory = 820.14 (MB).\n",
+ "[INFO DRT-0199] Number of violations = 856.\n",
+ "Viol/Layer Metal2 Metal3 Metal4\n",
+ "Metal Spacing 356 6 0\n",
+ "Recheck 3 0 0\n",
+ "Short 468 22 1\n",
+ "[INFO DRT-0267] cpu time = 00:05:38, elapsed time = 00:03:53, memory = 820.14 (MB), peak = 891.55 (MB)\n",
+ "Total wire length = 205114 um.\n",
+ "Total wire length on LAYER Metal1 = 0 um.\n",
+ "Total wire length on LAYER Metal2 = 107766 um.\n",
+ "Total wire length on LAYER Metal3 = 94031 um.\n",
+ "Total wire length on LAYER Metal4 = 2379 um.\n",
+ "Total wire length on LAYER Metal5 = 935 um.\n",
+ "Total wire length on LAYER TopMetal1 = 0 um.\n",
+ "Total wire length on LAYER TopMetal2 = 0 um.\n",
+ "Total number of vias = 49560.\n",
+ "Up-via summary (total 49560):\n",
+ "\n",
+ "-------------------\n",
+ " GatPoly 0\n",
+ " Metal1 25918\n",
+ " Metal2 22908\n",
+ " Metal3 696\n",
+ " Metal4 38\n",
+ " Metal5 0\n",
+ " TopMetal1 0\n",
+ "-------------------\n",
+ " 49560\n",
+ "\n",
+ "\n",
+ "[INFO DRT-0195] Start 3rd optimization iteration.\n",
+ " Completing 10% with 856 violations.\n",
+ " elapsed time = 00:00:00, memory = 820.14 (MB).\n",
+ " Completing 20% with 856 violations.\n",
+ " elapsed time = 00:00:37, memory = 820.14 (MB).\n",
+ " Completing 30% with 693 violations.\n",
+ " elapsed time = 00:00:50, memory = 820.14 (MB).\n",
+ " Completing 40% with 693 violations.\n",
+ " elapsed time = 00:00:54, memory = 820.14 (MB).\n",
+ " Completing 50% with 693 violations.\n",
+ " elapsed time = 00:02:02, memory = 820.14 (MB).\n",
+ " Completing 60% with 486 violations.\n",
+ " elapsed time = 00:02:02, memory = 820.14 (MB).\n",
+ " Completing 70% with 486 violations.\n",
+ " elapsed time = 00:02:46, memory = 820.14 (MB).\n",
+ " Completing 80% with 305 violations.\n",
+ " elapsed time = 00:02:49, memory = 820.14 (MB).\n",
+ " Completing 90% with 305 violations.\n",
+ " elapsed time = 00:02:51, memory = 820.14 (MB).\n",
+ " Completing 100% with 123 violations.\n",
+ " elapsed time = 00:03:44, memory = 820.14 (MB).\n",
+ "[INFO DRT-0199] Number of violations = 124.\n",
+ "Viol/Layer Metal2 Metal3\n",
+ "Metal Spacing 78 0\n",
+ "Off Grid 2 0\n",
+ "Recheck 1 0\n",
+ "Short 42 1\n",
+ "[INFO DRT-0267] cpu time = 00:05:23, elapsed time = 00:03:45, memory = 820.14 (MB), peak = 934.86 (MB)\n",
+ "Total wire length = 204817 um.\n",
+ "Total wire length on LAYER Metal1 = 0 um.\n",
+ "Total wire length on LAYER Metal2 = 103241 um.\n",
+ "Total wire length on LAYER Metal3 = 93732 um.\n",
+ "Total wire length on LAYER Metal4 = 6433 um.\n",
+ "Total wire length on LAYER Metal5 = 1409 um.\n",
+ "Total wire length on LAYER TopMetal1 = 0 um.\n",
+ "Total wire length on LAYER TopMetal2 = 0 um.\n",
+ "Total number of vias = 50199.\n",
+ "Up-via summary (total 50199):\n",
+ "\n",
+ "-------------------\n",
+ " GatPoly 0\n",
+ " Metal1 25918\n",
+ " Metal2 22755\n",
+ " Metal3 1431\n",
+ " Metal4 95\n",
+ " Metal5 0\n",
+ " TopMetal1 0\n",
+ "-------------------\n",
+ " 50199\n",
+ "\n",
+ "\n",
+ "[INFO DRT-0195] Start 4th optimization iteration.\n",
+ " Completing 10% with 124 violations.\n",
+ " elapsed time = 00:00:00, memory = 820.14 (MB).\n",
+ " Completing 20% with 124 violations.\n",
+ " elapsed time = 00:00:02, memory = 821.43 (MB).\n",
+ " Completing 30% with 106 violations.\n",
+ " elapsed time = 00:00:02, memory = 821.43 (MB).\n",
+ " Completing 40% with 106 violations.\n",
+ " elapsed time = 00:00:02, memory = 821.43 (MB).\n",
+ " Completing 50% with 106 violations.\n",
+ " elapsed time = 00:00:19, memory = 821.55 (MB).\n",
+ " Completing 60% with 48 violations.\n",
+ " elapsed time = 00:00:19, memory = 821.55 (MB).\n",
+ " Completing 70% with 48 violations.\n",
+ " elapsed time = 00:00:24, memory = 821.55 (MB).\n",
+ " Completing 80% with 37 violations.\n",
+ " elapsed time = 00:00:27, memory = 821.55 (MB).\n",
+ " Completing 90% with 37 violations.\n",
+ " elapsed time = 00:00:27, memory = 821.55 (MB).\n",
+ " Completing 100% with 0 violations.\n",
+ " elapsed time = 00:00:33, memory = 821.55 (MB).\n",
+ "[INFO DRT-0199] Number of violations = 0.\n",
+ "[INFO DRT-0267] cpu time = 00:00:43, elapsed time = 00:00:34, memory = 821.55 (MB), peak = 934.86 (MB)\n",
+ "Total wire length = 204806 um.\n",
+ "Total wire length on LAYER Metal1 = 0 um.\n",
+ "Total wire length on LAYER Metal2 = 103218 um.\n",
+ "Total wire length on LAYER Metal3 = 93709 um.\n",
+ "Total wire length on LAYER Metal4 = 6489 um.\n",
+ "Total wire length on LAYER Metal5 = 1389 um.\n",
+ "Total wire length on LAYER TopMetal1 = 0 um.\n",
+ "Total wire length on LAYER TopMetal2 = 0 um.\n",
+ "Total number of vias = 50184.\n",
+ "Up-via summary (total 50184):\n",
+ "\n",
+ "-------------------\n",
+ " GatPoly 0\n",
+ " Metal1 25918\n",
+ " Metal2 22753\n",
+ " Metal3 1425\n",
+ " Metal4 88\n",
+ " Metal5 0\n",
+ " TopMetal1 0\n",
+ "-------------------\n",
+ " 50184\n",
+ "\n",
+ "\n",
+ "[INFO DRT-0198] Complete detail routing.\n",
+ "Total wire length = 204806 um.\n",
+ "Total wire length on LAYER Metal1 = 0 um.\n",
+ "Total wire length on LAYER Metal2 = 103218 um.\n",
+ "Total wire length on LAYER Metal3 = 93709 um.\n",
+ "Total wire length on LAYER Metal4 = 6489 um.\n",
+ "Total wire length on LAYER Metal5 = 1389 um.\n",
+ "Total wire length on LAYER TopMetal1 = 0 um.\n",
+ "Total wire length on LAYER TopMetal2 = 0 um.\n",
+ "Total number of vias = 50184.\n",
+ "Up-via summary (total 50184):\n",
+ "\n",
+ "-------------------\n",
+ " GatPoly 0\n",
+ " Metal1 25918\n",
+ " Metal2 22753\n",
+ " Metal3 1425\n",
+ " Metal4 88\n",
+ " Metal5 0\n",
+ " TopMetal1 0\n",
+ "-------------------\n",
+ " 50184\n",
+ "\n",
+ "\n",
+ "[INFO DRT-0267] cpu time = 00:26:35, elapsed time = 00:18:42, memory = 821.55 (MB), peak = 934.86 (MB)\n",
+ "\n",
+ "[INFO DRT-0180] Post processing.\n",
+ "[INFO ANT-0002] Found 3 net violations.\n",
+ "[INFO ANT-0001] Found 3 pin violations.\n",
+ "Elapsed time: 20:12.27[h:]min:sec. CPU time: user 1736.36 sys 3.18 (143%). Peak memory: 957296KB.\n",
+ "cp ./results/ihp-sg13g2/martin/base/5_3_route.odb ./results/ihp-sg13g2/martin/base/5_route.odb\n",
+ "cp ./results/ihp-sg13g2/martin/base/5_route.odb ./results/ihp-sg13g2/martin/base/6_1_fill.odb\n",
+ "cp ./results/ihp-sg13g2/martin/base/4_cts.sdc ./results/ihp-sg13g2/martin/base/5_route.sdc\n",
+ "cp ./results/ihp-sg13g2/martin/base/5_route.sdc ./results/ihp-sg13g2/martin/base/6_1_fill.sdc\n",
+ "Running final_report.tcl, stage 6_report\n",
+ "[INFO ORD-0030] Using 2 thread(s).\n",
+ "Deleted 0 routing obstructions\n",
+ "[INFO RCX-0431] Defined process_corner X with ext_model_index 0\n",
+ "[INFO RCX-0029] Defined extraction corner X\n",
+ "[INFO RCX-0435] Reading extraction model file /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/rcx_patterns.rules ...\n",
+ "[INFO RCX-0436] RC segment generation martin_top (max_merge_res 50.0) ...\n",
+ "[INFO RCX-0040] Final 34875 rc segments\n",
+ "[INFO RCX-0439] Coupling Cap extraction martin_top ...\n",
+ "[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.\n",
+ "[INFO RCX-0442] 49% of 51977 wires extracted\n",
+ "[INFO RCX-0442] 55% of 51977 wires extracted\n",
+ "[INFO RCX-0442] 100% of 51977 wires extracted\n",
+ "[INFO RCX-0045] Extract 10943 nets, 44083 rsegs, 44083 caps, 54999 ccs\n",
+ "[INFO RCX-0443] 10939 nets finished\n",
+ "[INFO PSM-0040] All shapes on net VDD are connected.\n",
+ "[INFO PSM-0073] Using bump pattern with x-pitch 140.0000um, y-pitch 140.0000um, and size 70.0000um with an reduction factor of 3x.\n",
+ "########## IR report #################\n",
+ "Net : VDD\n",
+ "Corner : default\n",
+ "Supply voltage : 1.20e+00 V\n",
+ "Worstcase voltage: 1.20e+00 V\n",
+ "Average voltage : 1.20e+00 V\n",
+ "Average IR drop : 5.30e-05 V\n",
+ "Worstcase IR drop: 9.00e-05 V\n",
+ "Percentage drop : 0.01 %\n",
+ "######################################\n",
+ "[INFO PSM-0040] All shapes on net VSS are connected.\n",
+ "[INFO PSM-0073] Using bump pattern with x-pitch 140.0000um, y-pitch 140.0000um, and size 70.0000um with an reduction factor of 3x.\n",
+ "########## IR report #################\n",
+ "Net : VSS\n",
+ "Corner : default\n",
+ "Supply voltage : 0.00e+00 V\n",
+ "Worstcase voltage: 6.70e-05 V\n",
+ "Average voltage : 3.63e-05 V\n",
+ "Average IR drop : 3.63e-05 V\n",
+ "Worstcase IR drop: 6.70e-05 V\n",
+ "Percentage drop : 0.01 %\n",
+ "######################################\n",
+ "Cell type report:\n",
+ " Input pad 18\n",
+ " Output pad 10\n",
+ " Power pad 4\n",
+ " Pad spacer 364\n",
+ " Fill cell 4864\n",
+ " Cover 32\n",
+ " Antenna cell 155\n",
+ " Other 13747\n",
+ " Clock buffer 368\n",
+ " Timing Repair Buffer 788\n",
+ " Inverter 74\n",
+ " Clock inverter 199\n",
+ " Sequential cell 1703\n",
+ " Multi-Input combinational cell 6281\n",
+ " Total 28607\n",
+ "Report metrics stage 6, finish...\n",
+ "Warning: There is 1 output port missing set_output_delay.\n",
+ "Warning: There are 18 unconstrained endpoints.\n",
+ "\n",
+ "==========================================================================\n",
+ "finish report_design_area\n",
+ "--------------------------------------------------------------------------\n",
+ "Design area 332425 u^2 96% utilization.\n",
+ "[WARNING GUI-0076] QStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-root'\n",
+ "[WARNING GUI-0076] This plugin does not support propagateSizeHints()\n",
+ "[WARNING GUI-0076] This plugin does not support propagateSizeHints()\n",
+ "[WARNING GUI-0076] This plugin does not support propagateSizeHints()\n",
+ "[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/ihp-sg13g2/martin/base/final_routing.webp.png\n",
+ "[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/ihp-sg13g2/martin/base/final_placement.webp.png\n",
+ "[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/ihp-sg13g2/martin/base/final_ir_drop.webp.png\n",
+ "[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/ihp-sg13g2/martin/base/final_clocks.webp.png\n",
+ "[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/ihp-sg13g2/martin/base/final_resizer.webp.png\n",
+ "[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/ihp-sg13g2/martin/base/cts_clk.webp.png\n",
+ "Elapsed time: 0:31.03[h:]min:sec. CPU time: user 30.27 sys 0.43 (98%). Peak memory: 413124KB.\n",
+ "cp ./results/ihp-sg13g2/martin/base/5_route.sdc ./results/ihp-sg13g2/martin/base/6_final.sdc\n",
+ "cp /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lef/sg13g2_tech.lef ./objects/ihp-sg13g2/martin/base/klayout_tech.lef\n",
+ "SC_LEF_RELATIVE_PATH=\"$\\(env('FLOW_HOME')\\)/platforms/ihp-sg13g2/lef/sg13g2_stdcell.lef\"; \\\n",
+ "OTHER_LEFS_RELATIVE_PATHS=$(echo \"$(realpath --relative-to=./results/ihp-sg13g2/martin/base ./objects/ihp-sg13g2/martin/base/klayout_tech.lef)$(realpath --relative-to=./results/ihp-sg13g2/martin/base /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lef/sg13g2_io.lef)$(realpath --relative-to=./results/ihp-sg13g2/martin/base /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/lef/bondpad_70x70.lef)\"); \\\n",
+ "sed 's,.*,'\"$SC_LEF_RELATIVE_PATH\"''\"$OTHER_LEFS_RELATIVE_PATHS\"',g' /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/sg13g2.lyt > ./objects/ihp-sg13g2/martin/base/klayout.lyt\n",
+ "sed -i 's,.*,/content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/sg13g2.map,g' ./objects/ihp-sg13g2/martin/base/klayout.lyt\n",
+ "(/usr/bin/time -f 'Elapsed time: %E[h:]min:sec. CPU time: user %U sys %S (%P). Peak memory: %MKB.' stdbuf -o L /usr/bin/klayout -zz -rd design_name=martin_top \\\n",
+ " -rd in_def=./results/ihp-sg13g2/martin/base/6_final.def \\\n",
+ " -rd in_files=\"/content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/gds/sg13g2_stdcell.gds /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/gds/sg13g2_io.gds /content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/gds/bondpad_70x70.gds \" \\\n",
+ " -rd seal_file=\"/content/MARTIn/cfg/macros/sealring.gds\" \\\n",
+ " -rd out_file=./results/ihp-sg13g2/martin/base/6_1_merged.gds \\\n",
+ " -rd tech_file=./objects/ihp-sg13g2/martin/base/klayout.lyt \\\n",
+ " -rd layer_map= \\\n",
+ " -r /content/OpenROAD-flow-scripts/flow/util/def2stream.py) 2>&1 | tee ./logs/ihp-sg13g2/martin/base/6_1_merge.log\n",
+ "[INFO] Reporting cells prior to loading DEF ...\n",
+ "[INFO] Reading DEF ...\n",
+ "[INFO] Clearing cells...\n",
+ "[INFO] Merging GDS/OAS files...\n",
+ "\t/content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/gds/sg13g2_stdcell.gds\n",
+ "\t/content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/gds/sg13g2_io.gds\n",
+ "\t/content/OpenROAD-flow-scripts/flow/platforms/ihp-sg13g2/gds/bondpad_70x70.gds\n",
+ "[INFO] Copying toplevel cell 'martin_top'\n",
+ "[INFO] Checking for missing cell from GDS/OAS...\n",
+ "[INFO] All LEF cells have matching GDS/OAS cells\n",
+ "[INFO] Checking for orphan cell in the final layout...\n",
+ "[INFO] No orphan cells\n",
+ "[INFO] Reading seal GDS/OAS file...\n",
+ "\t/content/MARTIn/cfg/macros/sealring.gds\n",
+ "[INFO] Merging 'sealring_top' as child of 'martin_top'\n",
+ "[INFO] Writing out GDS/OAS './results/ihp-sg13g2/martin/base/6_1_merged.gds'\n",
+ "Elapsed time: 0:04.35[h:]min:sec. CPU time: user 3.83 sys 0.40 (97%). Peak memory: 531432KB.\n",
+ "cp results/ihp-sg13g2/martin/base/6_1_merged.gds results/ihp-sg13g2/martin/base/6_final.gds\n",
+ "./logs/ihp-sg13g2/martin/base\n",
+ "Log Elapsed seconds Peak Memory/MB\n",
+ "1_1_yosys 7 60\n",
+ "2_1_floorplan 2 112\n",
+ "2_3_floorplan_tdms 0 93\n",
+ "2_4_floorplan_macro 0 93\n",
+ "2_5_floorplan_tapcell 0 89\n",
+ "2_6_floorplan_pdn 0 98\n",
+ "3_1_place_gp_skip_io 2 103\n",
+ "3_3_place_gp 188 167\n",
+ "3_4_place_resized 6 126\n",
+ "3_5_place_dp 10 132\n",
+ "4_1_cts 11 146\n",
+ "5_1_grt 24 268\n",
+ "5_2_fillcell 0 114\n",
+ "5_3_route 1212 934\n",
+ "6_1_merge 4 518\n",
+ "6_report 31 403\n",
+ "Total 1497 934\n"
+ ]
+ }
+ ],
+ "source": [
+ "!cd OpenROAD-flow-scripts/flow ; source ./../env.sh && make DESIGN_CONFIG=./../../MARTIn/cfg/config.mk YOSYS_CMD=/content/oss-cad-suite/bin/yosys OPENROAD_EXE=/usr/bin/openroad"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "2AK9eCUJYSsc"
+ },
+ "source": [
+ "![](https://drive.google.com/uc?export=view&id=1qwt8LPm97phq8q_SQfM1-E4umKp7iUJv)\n",
+ "\n",
+ "Fig. 10 Openroad final GDS"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "ZTB_2gSe5GP3"
+ },
+ "source": [
+ "## 4.6) Filler scripts\n",
+ "\n",
+ "To guarantee the non violation of the DRC rules required by the IHP production, mainly those related to metal and activ densities, a filling script is required. It can be found in the IHP PDK."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "dQIrGGpx5H2d",
+ "outputId": "f989401e-f65f-4996-b0ea-61c13769c07e"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0m\u001b[34mWarning: Box.destroy: already destroyed!\n",
+ "\u001b[0mStart filling ActGatP\n",
+ "Start filling Metal\n",
+ "Start filling TopMetal\n"
+ ]
+ }
+ ],
+ "source": [
+ "!export KLAYOUT_HOME=/content/IHP-Open-PDK/ihp-sg13g2/libs.tech/klayout && \\\n",
+ " export PDK_ROOT=/content/IHP-Open-PDK && \\\n",
+ " export PDK=ihp-sg13g2 && \\\n",
+ " klayout -n sg13g2 -zz -r /content/IHP-Open-PDK/ihp-sg13g2/libs.tech/klayout/tech/scripts/filler.py \\\n",
+ "\t\t-rd output_file=/content/OpenROAD-flow-scripts/flow/results/ihp-sg13g2/martin/base/6_final.gds \\\n",
+ "\t\t/content/OpenROAD-flow-scripts/flow/results/ihp-sg13g2/martin/base/6_final.gds"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "-JFi5BjH9jtT"
+ },
+ "source": [
+ "#5. Verification\n",
+ "\n"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "7lwaCIQFyVJ2"
+ },
+ "source": [
+ "## 5.1) Post flow simulation\n",
+ "\n",
+ "For the post flow simulation, there are two testbenches made in verilog. They can be ran using the [Icarus Verilog](https://github.com/steveicarus/iverilog) simulation tool.\n",
+ "\n",
+ "The design here is simulated using the output netlist generated by the openroad flow in addition to the standard cell and IO cell libraries provided by the IHP PDK.\n",
+ "\n",
+ "It is important to remark that the Icarus Verilog simulation tool do not support timing simulation, therefore do not support *specify* blocks that are declared in the IHP cell library. So in order to simulate the design some alterations are required."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "Ke2ukhFMzr9b",
+ "outputId": "a0f14828-9737-4fbc-dcf3-3a7e09c6b3ee"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "\r0% [Working]\r \rHit:1 https://cloud.r-project.org/bin/linux/ubuntu jammy-cran40/ InRelease\n",
+ "Hit:2 https://developer.download.nvidia.com/compute/cuda/repos/ubuntu2204/x86_64 InRelease\n",
+ "Get:3 http://security.ubuntu.com/ubuntu jammy-security InRelease [129 kB]\n",
+ "Hit:4 http://archive.ubuntu.com/ubuntu jammy InRelease\n",
+ "Hit:5 https://r2u.stat.illinois.edu/ubuntu jammy InRelease\n",
+ "Get:6 http://archive.ubuntu.com/ubuntu jammy-updates InRelease [128 kB]\n",
+ "Hit:7 https://ppa.launchpadcontent.net/deadsnakes/ppa/ubuntu jammy InRelease\n",
+ "Hit:8 https://ppa.launchpadcontent.net/graphics-drivers/ppa/ubuntu jammy InRelease\n",
+ "Get:9 http://archive.ubuntu.com/ubuntu jammy-backports InRelease [127 kB]\n",
+ "Hit:10 https://ppa.launchpadcontent.net/ubuntugis/ppa/ubuntu jammy InRelease\n",
+ "Get:11 http://security.ubuntu.com/ubuntu jammy-security/main amd64 Packages [2,454 kB]\n",
+ "Fetched 2,838 kB in 2s (1,561 kB/s)\n",
+ "Reading package lists... Done\n",
+ "W: Skipping acquire of configured file 'main/source/Sources' as repository 'https://r2u.stat.illinois.edu/ubuntu jammy InRelease' does not seem to provide it (sources.list entry misspelt?)\n",
+ "Reading package lists... Done\n",
+ "Building dependency tree... Done\n",
+ "Reading state information... Done\n",
+ "The following packages were automatically installed and are no longer required:\n",
+ " libbz2-dev libpkgconf3 libreadline-dev\n",
+ "Use 'apt autoremove' to remove them.\n",
+ "The following NEW packages will be installed:\n",
+ " iverilog\n",
+ "0 upgraded, 1 newly installed, 0 to remove and 48 not upgraded.\n",
+ "Need to get 2,130 kB of archives.\n",
+ "After this operation, 6,749 kB of additional disk space will be used.\n",
+ "Get:1 http://archive.ubuntu.com/ubuntu jammy/universe amd64 iverilog amd64 11.0-1.1 [2,130 kB]\n",
+ "Fetched 2,130 kB in 1s (2,843 kB/s)\n",
+ "Selecting previously unselected package iverilog.\n",
+ "(Reading database ... 139057 files and directories currently installed.)\n",
+ "Preparing to unpack .../iverilog_11.0-1.1_amd64.deb ...\n",
+ "Unpacking iverilog (11.0-1.1) ...\n",
+ "Setting up iverilog (11.0-1.1) ...\n",
+ "Processing triggers for man-db (2.10.2-1) ...\n"
+ ]
+ }
+ ],
+ "source": [
+ "!sudo apt-get update && apt-get install -y iverilog"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "8ox-CQUyPRik"
+ },
+ "source": [
+ "Here, the standard cell library is edited to remove *specify* blocks in critical components used by the design and substitute them with a functional description.\n",
+ "\n",
+ "In the case of the MARTIn chip, the only alteration necessary was in the D Flip Flop."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "id": "3gBP1EL71Grx"
+ },
+ "outputs": [],
+ "source": [
+ "import re\n",
+ "\n",
+ "to_change = \"/content/IHP-Open-PDK/ihp-sg13g2/libs.ref/sg13g2_stdcell/verilog/sg13g2_stdcell.v\"\n",
+ "substitution = \"\"\"\n",
+ " output reg Q, Q_N;\n",
+ " input D, RESET_B, CLK;\n",
+ " reg notifier;\n",
+ " wire delayed_D, delayed_RESET_B, delayed_CLK;\n",
+ "\n",
+ " // Function\n",
+ " wire int_fwire_IQ, int_fwire_IQN, int_fwire_r;\n",
+ " wire xcr_0;\n",
+ "\n",
+ "\n",
+ " //Functional description\n",
+ " always @(posedge CLK or negedge RESET_B) begin\n",
+ " if(RESET_B == 1'b0) begin\n",
+ " Q <= 1'b0;\n",
+ " end else begin\n",
+ " Q <= D;\n",
+ " Q_N <= ~D;\n",
+ " end\n",
+ " end\n",
+ "\"\"\"\n",
+ "changed_file = \"/content/MARTIn/tb/stdcell.v\"\n",
+ "\n",
+ "with open(to_change, \"r\") as fl:\n",
+ " text = fl.read()\n",
+ "\n",
+ "pattern = r'(module sg13g2_dfrbp_1 \\(Q, Q_N, D, RESET_B, CLK\\);\\n)(.*?)(endmodule)'\n",
+ "changed = re.sub(pattern, rf'\\1{substitution}\\3', text, flags=re.DOTALL)\n",
+ "\n",
+ "with open(changed_file, \"w\") as fl:\n",
+ " fl.write(changed)\n",
+ "\n"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "AO3lQlzM4hs-"
+ },
+ "source": [
+ "Now it is possible to run the testbench that checks the shift register functionality.\n",
+ "\n"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "xEVM8EJKz_NW",
+ "outputId": "1d80e3f5-9202-43af-f682-d0de66e4ee2f"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "/content/MARTIn/tb/stdcell.v:486: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:486: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:487: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:487: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:488: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:488: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:515: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:515: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:516: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:516: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:548: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:548: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:549: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:549: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:550: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:550: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:578: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:578: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:579: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:579: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:580: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:580: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:615: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:615: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:616: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:616: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:617: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:617: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:647: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:647: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:648: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:648: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:649: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:649: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:962: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:962: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:963: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:963: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1553: warning: Sorry: ifnone with an edge-sensitive path is not supported.\n",
+ "/content/MARTIn/tb/stdcell.v:1558: warning: Sorry: ifnone with an edge-sensitive path is not supported.\n",
+ "/content/MARTIn/tb/stdcell.v:1559: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1559: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1560: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1560: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1561: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1561: warning: timing checks are not supported and delayed signal \"delayed_SCD\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1562: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1562: warning: timing checks are not supported and delayed signal \"delayed_SCD\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1563: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1563: warning: timing checks are not supported and delayed signal \"delayed_SCE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1564: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1564: warning: timing checks are not supported and delayed signal \"delayed_SCE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1565: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1565: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1566: warning: timing checks are not supported and delayed signal \"delayed_SET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1566: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1567: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1567: warning: timing checks are not supported and delayed signal \"delayed_SET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1608: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1608: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1609: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1609: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1610: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1610: warning: timing checks are not supported and delayed signal \"delayed_SCE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1611: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1611: warning: timing checks are not supported and delayed signal \"delayed_SCE\" will not be driven.\n",
+ "VCD info: dumpfile shreg.vcd opened for output.\n",
+ "Test started!\n",
+ "Test finished!\n"
+ ]
+ }
+ ],
+ "source": [
+ "!iverilog /content/MARTIn/tb/shreg_tb.v /content/IHP-Open-PDK/ihp-sg13g2/libs.ref/sg13g2_io/verilog/sg13g2_io.v /content/MARTIn/tb/stdcell.v /content/OpenROAD-flow-scripts/flow/results/ihp-sg13g2/martin/base/6_final.v -o /content/MARTIn/tb/shreg_tb.vvp\n",
+ "!vvp /content/MARTIn/tb/shreg_tb.vvp"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "KC0-0acP4nJR"
+ },
+ "source": [
+ "And also run the testbench that ensures the correctness of the three implemented modes (filter, transparency, median)."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": null,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "wNhIub9s4Vcf",
+ "outputId": "542339c8-31e0-4f9f-9293-b42f9ba81301"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "/content/MARTIn/tb/stdcell.v:486: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:486: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:487: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:487: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:488: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:488: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:515: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:515: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:516: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:516: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:548: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:548: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:549: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:549: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:550: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:550: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:578: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:578: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:579: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:579: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:580: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:580: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:615: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:615: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:616: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:616: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:617: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:617: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:647: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:647: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:648: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:648: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:649: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:649: warning: timing checks are not supported and delayed signal \"delayed_GATE_N\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:962: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:962: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:963: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:963: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1553: warning: Sorry: ifnone with an edge-sensitive path is not supported.\n",
+ "/content/MARTIn/tb/stdcell.v:1558: warning: Sorry: ifnone with an edge-sensitive path is not supported.\n",
+ "/content/MARTIn/tb/stdcell.v:1559: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1559: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1560: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1560: warning: timing checks are not supported and delayed signal \"delayed_D\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1561: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1561: warning: timing checks are not supported and delayed signal \"delayed_SCD\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1562: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1562: warning: timing checks are not supported and delayed signal \"delayed_SCD\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1563: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1563: warning: timing checks are not supported and delayed signal \"delayed_SCE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1564: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1564: warning: timing checks are not supported and delayed signal \"delayed_SCE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1565: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1565: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1566: warning: timing checks are not supported and delayed signal \"delayed_SET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1566: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1567: warning: timing checks are not supported and delayed signal \"delayed_RESET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1567: warning: timing checks are not supported and delayed signal \"delayed_SET_B\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1608: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1608: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1609: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1609: warning: timing checks are not supported and delayed signal \"delayed_GATE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1610: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1610: warning: timing checks are not supported and delayed signal \"delayed_SCE\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1611: warning: timing checks are not supported and delayed signal \"delayed_CLK\" will not be driven.\n",
+ "/content/MARTIn/tb/stdcell.v:1611: warning: timing checks are not supported and delayed signal \"delayed_SCE\" will not be driven.\n",
+ "VCD info: dumpfile filter.vcd opened for output.\n",
+ "1.Test - transparency test\n",
+ "2.Test - median test\n",
+ "3.Test - filter test\n"
+ ]
+ }
+ ],
+ "source": [
+ "!iverilog /content/MARTIn/tb/filter_tb.v /content/IHP-Open-PDK/ihp-sg13g2/libs.ref/sg13g2_io/verilog/sg13g2_io.v /content/MARTIn/tb/stdcell.v /content/OpenROAD-flow-scripts/flow/results/ihp-sg13g2/martin/base/6_final.v -o /content/MARTIn/tb/filter_tb.vvp\n",
+ "!vvp /content/MARTIn/tb/filter_tb.vvp"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "TD_9PbqE4iAN"
+ },
+ "source": [
+ "## 5.2) DRC\n",
+ "\n",
+ "Lastly to guarantee the quality of the design for production, the minimal DRC provided by the IHP PDK is ran.\n",
+ "\n",
+ "As a result, it is possible to see that the design contains no DRC error."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 43,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "ZXjowkCD4l8Y",
+ "outputId": "a8f199d7-3f72-4986-e85c-7800f92efaaf"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "\u001b[32mDRC: batch mode\n",
+ "\u001b[0m\u001b[32mActive cell: martin_top\n",
+ "\u001b[0mRule Act.a: 0 error(s)\n",
+ "Rule Act.b: 0 error(s)\n",
+ "Rule AFil.g: 0 error(s)\n",
+ "Rule AFil.g1: 0 error(s)\n",
+ "Rule AFil.g2: 0 error(s)\n",
+ "Rule AFil.g3: 0 error(s)\n",
+ "Rule TGO.f: 0 error(s)\n",
+ "Rule Gat.a: 0 error(s)\n",
+ "Rule Gat.b: 0 error(s)\n",
+ "Rule Gat.d: 0 error(s)\n",
+ "Rule GFil.g: 0 error(s)\n",
+ "Rule Cnt.a: 0 error(s)\n",
+ "Rule Cnt.b: 0 error(s)\n",
+ "Rule M1.a: 0 error(s)\n",
+ "Rule M1.b: 0 error(s)\n",
+ "Rule M1.j: 0 error(s)\n",
+ "Rule M1.k: 0 error(s)\n",
+ "Rule M2.a: 0 error(s)\n",
+ "Rule M2.b: 0 error(s)\n",
+ "Rule M2.j: 0 error(s)\n",
+ "Rule M2.k: 0 error(s)\n",
+ "Rule M3.a: 0 error(s)\n",
+ "Rule M3.b: 0 error(s)\n",
+ "Rule M3.j: 0 error(s)\n",
+ "Rule M3.k: 0 error(s)\n",
+ "Rule M4.a: 0 error(s)\n",
+ "Rule M4.b: 0 error(s)\n",
+ "Rule M4.j: 0 error(s)\n",
+ "Rule M4.k: 0 error(s)\n",
+ "Rule M5.a: 0 error(s)\n",
+ "Rule M5.b: 0 error(s)\n",
+ "Rule M5.j: 0 error(s)\n",
+ "Rule M5.k: 0 error(s)\n",
+ "Rule M1Fil.h: 0 error(s)\n",
+ "Rule M1Fil.k: 0 error(s)\n",
+ "Rule M2Fil.h: 0 error(s)\n",
+ "Rule M2Fil.k: 0 error(s)\n",
+ "Rule M3Fil.h: 0 error(s)\n",
+ "Rule M3Fil.k: 0 error(s)\n",
+ "Rule M4Fil.h: 0 error(s)\n",
+ "Rule M4Fil.k: 0 error(s)\n",
+ "Rule M5Fil.h: 0 error(s)\n",
+ "Rule M5Fil.k: 0 error(s)\n",
+ "Rule V1.a: 0 error(s)\n",
+ "Rule V1.b: 0 error(s)\n",
+ "Rule V2.a: 0 error(s)\n",
+ "Rule V2.b: 0 error(s)\n",
+ "Rule V3.a: 0 error(s)\n",
+ "Rule V3.b: 0 error(s)\n",
+ "Rule V4.a: 0 error(s)\n",
+ "Rule V4.b: 0 error(s)\n",
+ "Rule TV1.a: 0 error(s)\n",
+ "Rule TV1.b: 0 error(s)\n",
+ "Rule TM1.a: 0 error(s)\n",
+ "Rule TM1.b: 0 error(s)\n",
+ "Rule TM1.c: 0 error(s)\n",
+ "Rule TM1.d: 0 error(s)\n",
+ "Rule TV2.a: 0 error(s)\n",
+ "Rule TV2.b: 0 error(s)\n",
+ "Rule TM2.a: 0 error(s)\n",
+ "Rule TM2.b: 0 error(s)\n",
+ "Rule TM2.c: 0 error(s)\n",
+ "Rule TM2.d: 0 error(s)\n",
+ "Rule Pas.a: 0 error(s)\n",
+ "Rule Pas.b: 0 error(s)\n",
+ "Rule Pin.a: 0 error(s)\n",
+ "Rule Pin.b: 0 error(s)\n",
+ "Rule Pin.e: 0 error(s)\n",
+ "Rule Pin.f.M2: 0 error(s)\n",
+ "Rule Pin.f.M3: 0 error(s)\n",
+ "Rule Pin.f.M4: 0 error(s)\n",
+ "Rule Pin.f.M5: 0 error(s)\n",
+ "Rule Pin.g: 0 error(s)\n",
+ "Rule Pin.h: 0 error(s)\n",
+ "Rule LBE.a: 0 error(s)\n",
+ "Rule LBE.b: 0 error(s)\n",
+ "Rule LBE.b1: 0 error(s)\n",
+ "Rule LBE.c: 0 error(s)\n",
+ "Rule LBE.d: 0 error(s)\n",
+ "Rule LBE.h: 0 error(s)\n",
+ "Rule LBE.i: 0 error(s)\n",
+ "Rule forbidden.BiWind: 0 error(s)\n",
+ "Rule forbidden.PEmWind: 0 error(s)\n",
+ "Rule forbidden.BasPoly: 0 error(s)\n",
+ "Rule forbidden.DeepCo: 0 error(s)\n",
+ "Rule forbidden.PEmPoly: 0 error(s)\n",
+ "Rule forbidden.EmPoly: 0 error(s)\n",
+ "Rule forbidden.LDMOS: 0 error(s)\n",
+ "Rule forbidden.PBiWind: 0 error(s)\n",
+ "Rule forbidden.Flash: 0 error(s)\n",
+ "Rule forbidden.ColWind: 0 error(s)\n",
+ "Number of DRC errors: 0\n"
+ ]
+ }
+ ],
+ "source": [
+ "!export KLAYOUT_HOME=/content/IHP-Open-PDK/ihp-sg13g2/libs.tech/klayout && klayout -n sg13g2 -b -r /content/IHP-Open-PDK/ihp-sg13g2/libs.tech/klayout/tech/drc/sg13g2_minimal.lydrc -rd cell=martin_top /content/OpenROAD-flow-scripts/flow/results/ihp-sg13g2/martin/base/6_final.gds"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "Xx4MHXFyLeZX"
+ },
+ "source": [
+ "#6. Results\n",
+ "\n",
+ "In this section there are some interesting results from the flow.\n",
+ "\n",
+ "
\n",
+ " \n",
+ "
\n",
+ "
Total chip area
\n",
+ "
1.37 x 1.37 = 1.879 mm2
\n",
+ "
\n",
+ "
\n",
+ "
Clock frequency
\n",
+ "
50 MHz
\n",
+ "
\n",
+ "
\n",
+ "
Number of flip-flops
\n",
+ "
1703
\n",
+ "
\n",
+ " \n",
+ "
\n",
+ "\n",
+ "Table 2. Results\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "Fig. 11 Cell placement (Generated by Openroad)\n",
+ "\n",
+ "\n",
+ "\n",
+ "Fig. 12 Routing (Generated by Openroad)\n",
+ "\n",
+ "\n",
+ "\n",
+ "Fig. 13 Clock routes (Generated by Openroad)\n",
+ "\n",
+ "\n",
+ "\n",
+ "Fig. 14 IR Drop (Generated by Openroad)"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "uofWnLPI-x5C"
+ },
+ "source": [
+ "# 7. Visualization\n",
+ "\n",
+ "For a better explanation of the algorithm implemented in this chip, a Python animation was made with the [Manim](https://github.com/3b1b/manim) package. It explains the inner workings of the CSMF algorithm in a highly educational manner."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 40,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "VL4fybs8FTLN",
+ "outputId": "74dfbae4-593a-4247-c8ba-007eb8c0338e"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Reading package lists... Done\n",
+ "Building dependency tree... Done\n",
+ "Reading state information... Done\n",
+ "libcairo2-dev is already the newest version (1.16.0-5ubuntu2).\n",
+ "pkg-config is already the newest version (0.29.2-1ubuntu3).\n",
+ "libpango1.0-dev is already the newest version (1.50.6+ds-2ubuntu1).\n",
+ "python3-dev is already the newest version (3.10.6-1~22.04.1).\n",
+ "ffmpeg is already the newest version (7:4.4.2-0ubuntu0.22.04.1).\n",
+ "The following packages were automatically installed and are no longer required:\n",
+ " libbz2-dev libpkgconf3 libreadline-dev\n",
+ "Use 'sudo apt autoremove' to remove them.\n",
+ "0 upgraded, 0 newly installed, 0 to remove and 48 not upgraded.\n",
+ "Requirement already satisfied: manim in /usr/local/lib/python3.10/dist-packages (0.18.1)\n",
+ "Requirement already satisfied: Pillow>=9.1 in /usr/local/lib/python3.10/dist-packages (from manim) (11.0.0)\n",
+ "Requirement already satisfied: Pygments>=2.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (2.18.0)\n",
+ "Requirement already satisfied: click>=8.0 in /usr/local/lib/python3.10/dist-packages (from manim) (8.1.7)\n",
+ "Requirement already satisfied: cloup>=2.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (3.0.5)\n",
+ "Requirement already satisfied: decorator>=4.3.2 in /usr/local/lib/python3.10/dist-packages (from manim) (4.4.2)\n",
+ "Requirement already satisfied: isosurfaces>=0.1.0 in /usr/local/lib/python3.10/dist-packages (from manim) (0.1.2)\n",
+ "Requirement already satisfied: manimpango<1.0.0,>=0.5.0 in /usr/local/lib/python3.10/dist-packages (from manim) (0.6.0)\n",
+ "Requirement already satisfied: mapbox-earcut>=1.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (1.0.2)\n",
+ "Requirement already satisfied: moderngl<6.0.0,>=5.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (5.12.0)\n",
+ "Requirement already satisfied: moderngl-window>=2.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (3.0.3)\n",
+ "Requirement already satisfied: networkx>=2.6 in /usr/local/lib/python3.10/dist-packages (from manim) (3.4.2)\n",
+ "Requirement already satisfied: numpy>=1.26 in /usr/local/lib/python3.10/dist-packages (from manim) (1.26.4)\n",
+ "Requirement already satisfied: pycairo<2.0.0,>=1.13 in /usr/local/lib/python3.10/dist-packages (from manim) (1.27.0)\n",
+ "Requirement already satisfied: pydub>=0.20.0 in /usr/local/lib/python3.10/dist-packages (from manim) (0.25.1)\n",
+ "Requirement already satisfied: rich>=12.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (13.9.4)\n",
+ "Requirement already satisfied: scipy>=1.6.0 in /usr/local/lib/python3.10/dist-packages (from manim) (1.13.1)\n",
+ "Requirement already satisfied: screeninfo>=0.7 in /usr/local/lib/python3.10/dist-packages (from manim) (0.8.1)\n",
+ "Requirement already satisfied: skia-pathops>=0.7.0 in /usr/local/lib/python3.10/dist-packages (from manim) (0.8.0.post2)\n",
+ "Requirement already satisfied: srt>=3.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (3.5.3)\n",
+ "Requirement already satisfied: svgelements>=1.8.0 in /usr/local/lib/python3.10/dist-packages (from manim) (1.9.6)\n",
+ "Requirement already satisfied: tqdm>=4.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (4.66.6)\n",
+ "Requirement already satisfied: typing-extensions>=4.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (4.12.2)\n",
+ "Requirement already satisfied: watchdog>=2.0.0 in /usr/local/lib/python3.10/dist-packages (from manim) (6.0.0)\n",
+ "Requirement already satisfied: glcontext>=3.0.0 in /usr/local/lib/python3.10/dist-packages (from moderngl<6.0.0,>=5.0.0->manim) (3.0.0)\n",
+ "Requirement already satisfied: pyglet>=2.0.0 in /usr/local/lib/python3.10/dist-packages (from moderngl-window>=2.0.0->manim) (2.0.19)\n",
+ "Requirement already satisfied: pyglm<3,>=2.7.0 in /usr/local/lib/python3.10/dist-packages (from moderngl-window>=2.0.0->manim) (2.7.3)\n",
+ "Requirement already satisfied: markdown-it-py>=2.2.0 in /usr/local/lib/python3.10/dist-packages (from rich>=12.0.0->manim) (3.0.0)\n",
+ "Requirement already satisfied: mdurl~=0.1 in /usr/local/lib/python3.10/dist-packages (from markdown-it-py>=2.2.0->rich>=12.0.0->manim) (0.1.2)\n",
+ " % Total % Received % Xferd Average Speed Time Time Time Current\n",
+ " Dload Upload Total Spent Left Speed\n",
+ " 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0\n",
+ "100 85831 100 85831 0 0 32823 0 0:00:02 0:00:02 --:--:-- 65419\n",
+ " % Total % Received % Xferd Average Speed Time Time Time Current\n",
+ " Dload Upload Total Spent Left Speed\n",
+ " 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0\n",
+ "100 746k 100 746k 0 0 351k 0 0:00:02 0:00:02 --:--:-- 898k\n"
+ ]
+ }
+ ],
+ "source": [
+ "!sudo apt install libcairo2-dev libpango1.0-dev ffmpeg pkg-config python3-dev\n",
+ "!pip install manim\n",
+ "!curl -L -o martindiag.png \"https://drive.google.com/uc?export=download&id=16RvMNjgikfnl7-4PUgpqRIC18zP5Vk8_\"\n",
+ "!curl -L -o final_routin.png \"https://drive.google.com/uc?export=download&id=1LG-TSJfeFx1Bcg1kGn8OMhq4LAhoDABm\""
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 41,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "AQdRtykrJCOh",
+ "outputId": "84f12554-53a2-4c44-ab3c-255b5c7850ad"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Overwriting manim.py\n"
+ ]
+ }
+ ],
+ "source": [
+ "%%writefile manim.py\n",
+ "from manim import *\n",
+ "\n",
+ "chns = [18, 37, 10, 22, 5]\n",
+ "values = [0, 0, 0, 0, 0]\n",
+ "\n",
+ "class AnimatedFlowgraph(Scene):\n",
+ " def construct(self):\n",
+ " def create_curved_arrow(sp, ep):\n",
+ " radius = 0.1\n",
+ " in_point1 = [(sp[0] + ep[0])/2 - radius, sp[1], 0]\n",
+ " in_point2 = [(sp[0] + ep[0])/2 + radius, ep[1], 0]\n",
+ " if sp[1] > ep[1]:\n",
+ " arc_center1 = [(sp[0] + ep[0])/2 - radius, sp[1] - radius, 0 ]\n",
+ " arc_center2 = [(sp[0] + ep[0])/2 + radius, ep[1] + radius, 0 ]\n",
+ " arc1 = Arc(radius=radius, angle= PI/2, arc_center=arc_center1)\n",
+ " arc2 = Arc(radius=radius, start_angle=PI, angle=PI/2, arc_center=arc_center2)\n",
+ " l3p1 = [in_point1[0] + radius, in_point1[1] - radius, 0]\n",
+ " l3p2 = [in_point2[0] - radius, in_point2[1] + radius, 0]\n",
+ " else:\n",
+ " arc_center1 = [(sp[0] + ep[0])/2 - radius, sp[1] + radius, 0 ]\n",
+ " arc_center2 = [(sp[0] + ep[0])/2 + radius, ep[1] - radius, 0 ]\n",
+ " l3p1 = [in_point1[0] + radius, in_point1[1] + radius, 0]\n",
+ " l3p2 = [in_point2[0] - radius, in_point2[1] - radius, 0]\n",
+ " arc1 = Arc(radius=radius, angle= -PI/2, arc_center=arc_center1)\n",
+ " arc2 = Arc(radius=radius, start_angle=PI, angle=-PI/2, arc_center=arc_center2)\n",
+ "\n",
+ " line1 = Line(start=sp, end= in_point1)\n",
+ " line2 = Line(start=in_point2, end= ep)\n",
+ " line3 = Line(start=l3p1, end= l3p2)\n",
+ " line2.add_tip(tip_length=0.2, tip_width=0.2)\n",
+ " curv_arrow = Group(line1, line2, line3, arc1, arc2)\n",
+ " return curv_arrow\n",
+ " def compare(i, j, center):\n",
+ " n1 = Text(text=f\"{chns[i]}\", font_size=100).scale(0.2).move_to(boxes[i].get_center())\n",
+ " n2 = Text(text=f\"{chns[j]}\", font_size=100).scale(0.2).move_to(boxes[j].get_center())\n",
+ " self.add(n1,n2)\n",
+ " if(chns[i] > chns[j]):\n",
+ " cmp_txt = \">\"\n",
+ " values[i] += 1\n",
+ " idx = i\n",
+ " else:\n",
+ " cmp_txt = \"<\"\n",
+ " values[j] += 1\n",
+ " idx = j\n",
+ " arrow_pos = [center[0] + 0.1, center[1], 0]\n",
+ " comp_label = Text(text=cmp_txt, font_size=280, color=RED).scale(0.1).move_to(arrow_pos)\n",
+ " comp_label.set_z_index(7)\n",
+ " n1.set_z_index(7)\n",
+ " n2.set_z_index(7)\n",
+ " n1_pos = [center[0] - 0.25, center[1], 0]\n",
+ " n2_pos = [center[0] + 0.45, center[1], 0]\n",
+ " self.play(n1.animate.move_to(n1_pos), n2.animate.move_to(n2_pos))\n",
+ " self.play(FadeIn(comp_label), run_time=0.4)\n",
+ " if(cmp_txt == \">\"):\n",
+ " self.play(n1.animate.set_color(GREEN_C), run_time=0.2)\n",
+ " else:\n",
+ " self.play(n2.animate.set_color(GREEN_C), run_time=0.2)\n",
+ " self.play(n1.animate.move_to(boxes2[i].get_center()), n2.animate.move_to(boxes2[j].get_center()), FadeOut(comp_label))\n",
+ " self.remove(n1,n2)\n",
+ " text_to_change = Text(text=f\"{values[idx]}\",font_size=100).scale(0.2)\n",
+ " text_to_change.move_to(comp_labels[idx])\n",
+ " self.play(Transform(comp_labels[idx], text_to_change), run_time=0.3)\n",
+ " comp_labels[idx].become(text_to_change)\n",
+ "\n",
+ " #Introduction\n",
+ " title = VGroup(\n",
+ " Text(text=\"MARTIn Chip\", font_size=100),\n",
+ " Text(text=\"Median Algorithm Reduced Test Inteface\", font_size=100, color=BLUE).scale(0.4),\n",
+ " )\n",
+ " title.arrange(DOWN)\n",
+ " self.play(Write(title))\n",
+ " self.wait(1)\n",
+ " self.play(FadeOut(title))\n",
+ "\n",
+ " title2 = Text(text=\"Block Diagram\", font_size=100, color=BLUE).scale(0.4).to_edge(UP)\n",
+ " diag = ImageMobject(\"/content/martindiag.png\")\n",
+ " self.play(FadeIn(diag), FadeIn(title2))\n",
+ " self.wait(1.5)\n",
+ " self.play(diag.animate.move_to([-1,-7.2,0]).scale(4), title2.animate.shift(7.2*UP))\n",
+ " self.play(FadeOut(diag), FadeOut(title2), run_time=0.3)\n",
+ "\n",
+ " #Algorithm\n",
+ " boxes = VGroup(*[Rectangle(width=2.2, height=0.8, color=WHITE) for i in range(5)])\n",
+ " boxes.arrange(DOWN, buff=0.75).to_edge(LEFT)\n",
+ " channel_labels = Group()\n",
+ " for i, box in enumerate(boxes):\n",
+ " label = Text(f\"Channel {i+1}\", font_size=100).scale(0.2).move_to(box.get_center())\n",
+ " channel_labels.add(label)\n",
+ " self.play(FadeIn(boxes), FadeIn(channel_labels))\n",
+ "\n",
+ " # Add labels to nodes\n",
+ " boxes2 = VGroup(*[Rectangle(width=2.2, height=0.8, color=YELLOW) for i in range(5)])\n",
+ " boxes2.arrange(DOWN, buff=0.75).to_edge(LEFT).shift(RIGHT*5.5)\n",
+ " comp_labels = Group()\n",
+ " for i, box in enumerate(boxes2):\n",
+ " label = Text(\"Register\", font_size=100).scale(0.2).move_to(box.get_center())\n",
+ " comp_labels.add(label)\n",
+ " self.play(FadeIn(boxes2), FadeIn(comp_labels))\n",
+ "\n",
+ "\n",
+ "\n",
+ " #Do compare\n",
+ " new_labels_ch = Group()\n",
+ " for i, txt in enumerate(channel_labels):\n",
+ " new_txt = Text(f\"{chns[i]}\", font_size=100).scale(0.2).move_to(txt.get_center())\n",
+ " new_labels_ch.add(new_txt)\n",
+ " self.play(Transform(channel_labels, new_labels_ch))\n",
+ " new_labels_comp = Group()\n",
+ " for i, txt in enumerate(comp_labels):\n",
+ " new_txt = Text(f\"{0}\", font_size=100).scale(0.2).move_to(txt.get_center())\n",
+ " new_labels_comp.add(new_txt)\n",
+ " self.play(Transform(comp_labels, new_labels_comp))\n",
+ "\n",
+ " #Explain\n",
+ " exp1 = Text(\"Value received \\nin the channel\", font_size=100).scale(0.2).move_to(boxes[0].get_right()).shift(RIGHT*2).shift(DOWN)\n",
+ " a1 = Line(start=exp1.get_left(), end=new_labels_ch.get_right())\n",
+ " a1.add_tip(tip_length=0.2, tip_width=0.2)\n",
+ " self.play(FadeIn(exp1), FadeIn(a1), run_time=0.7)\n",
+ " exp2 = Text(\"Number of channels that are \\nsmaller than this channel\", font_size=100).scale(0.2).move_to(boxes2[0].get_right()).shift(RIGHT*2.4).shift(DOWN)\n",
+ " a2 = Line(start=exp2.get_left(), end=new_labels_comp.get_right())\n",
+ " a2.add_tip(tip_length=0.2, tip_width=0.2)\n",
+ " self.play(FadeIn(exp2), FadeIn(a2), run_time=0.7)\n",
+ " self.wait(1)\n",
+ " self.play(FadeOut(exp1), FadeOut(exp2), FadeOut(a1), FadeOut(a2), run_time=0.7)\n",
+ " for i in range(0,4):\n",
+ " for j in range(i+1,5):\n",
+ "\n",
+ " center_point = [(boxes[i].get_right()[0] + boxes2[j].get_left()[0])/2, (boxes[i].get_right()[1] + boxes2[j].get_left()[1])/2, 0]\n",
+ " comparator = Polygon(\n",
+ " [-1, 2, 0],\n",
+ " [1, 1, 0],\n",
+ " [1, -1, 0],\n",
+ " [-1, -2, 0],\n",
+ " [-1, -0.5, 0],\n",
+ " [-0.7, 0, 0],\n",
+ " [-1, 0.5, 0],\n",
+ " color=RED,\n",
+ " fill_opacity=0.3\n",
+ " ).scale(0.75).move_to(center_point)\n",
+ " cp1 = [comparator.get_left()[0], comparator.get_left()[1]+1, 0]\n",
+ " cp2 = [comparator.get_left()[0], comparator.get_left()[1]-1, 0]\n",
+ " c1 = create_curved_arrow(boxes[i].get_right(),cp1)\n",
+ " c2 = create_curved_arrow(boxes[j].get_right(),cp2)\n",
+ " c3 = create_curved_arrow(comparator.get_right(),boxes2[i].get_left())\n",
+ " c4 = create_curved_arrow(comparator.get_right(),boxes2[j].get_left())\n",
+ " comparator.set_z_index(6)\n",
+ " self.play(FadeIn(c1), FadeIn(c2),FadeIn(c3), FadeIn(c4), FadeIn(comparator), run_time=0.4)\n",
+ " compare(i,j, comparator.get_center())\n",
+ " self.play(FadeOut(c1), FadeOut(c2), FadeOut(c3), FadeOut(c4), FadeOut(comparator), run_time=0.2)\n",
+ "\n",
+ " # Get median\n",
+ " median_idx = 0\n",
+ " for i, n in enumerate(values):\n",
+ " if n == 2:\n",
+ " median_idx = i\n",
+ " endp = [5,0,0]\n",
+ "\n",
+ " check_texts = Group()\n",
+ " for bx in boxes2:\n",
+ " txt = Text(\" == 2 ?\", font_size=100).scale(0.2).move_to(bx.get_right()).shift(RIGHT)\n",
+ " check_texts.add(txt)\n",
+ " self.play(FadeIn(check_texts))\n",
+ " self.play(boxes2[median_idx].animate.set_color(RED), FocusOn(boxes2[median_idx]))\n",
+ "\n",
+ " self.play(FadeOut(check_texts), run_time=0.5)\n",
+ " self.play(boxes2.animate.shift(RIGHT*5.5), comp_labels.animate.shift(RIGHT*5.5))\n",
+ "\n",
+ " mux = Polygon([-1, 2, 0],\n",
+ " [1, 1, 0],\n",
+ " [1, -1, 0],\n",
+ " [-1, -2, 0],\n",
+ " color=BLUE,\n",
+ " ).stretch(1.8, dim=1).move_to(boxes[2].get_right()).shift(RIGHT*1.8)\n",
+ " radius = 0.1\n",
+ " mux_arrow_p1 = [mux.get_top()[0], mux.get_top()[1] - 0.9, 0]\n",
+ " mux_arrow_p2 = [mux.get_top()[0], mux.get_top()[1] - radius, 0]\n",
+ " mux_arrow_p3 = [mux.get_top()[0] + radius, mux.get_top()[1] , 0]\n",
+ " mux_arrow_p4 = [mux.get_top()[0] + 0.8, mux.get_top()[1] , 0]\n",
+ " arc_center = [mux.get_top()[0] + radius, mux.get_top()[1] - radius, 0]\n",
+ " mux_arrow = Group(\n",
+ " Line(start=mux_arrow_p4, end = mux_arrow_p3),\n",
+ " Line(start=mux_arrow_p2, end = mux_arrow_p1).add_tip(tip_length=0.2, tip_width=0.2),\n",
+ " Arc(radius=radius, start_angle=PI/2, angle=PI/2, arc_center=arc_center)\n",
+ " )\n",
+ " box_to_mux_arrs = Group()\n",
+ " for i, box in enumerate(boxes):\n",
+ " ep = [mux.get_left()[0], box.get_right()[1],0]\n",
+ " box_to_mux_arr = Line(start=box.get_right(), end=ep).add_tip(tip_length=0.2, tip_width=0.2)\n",
+ " box_to_mux_arrs.add(box_to_mux_arr)\n",
+ "\n",
+ " indexes = Group()\n",
+ " for i, box in enumerate(boxes2):\n",
+ " if(i == median_idx):\n",
+ " label = Text(f\"Index: {i}\", font_size=100, color=RED).scale(0.2).move_to(box.get_center()).shift(LEFT*2)\n",
+ " else:\n",
+ " label = Text(f\"Index: {i}\", font_size=100).scale(0.2).move_to(box.get_center()).shift(LEFT*2)\n",
+ " indexes.add(label)\n",
+ " self.play(FadeIn(mux), FadeIn(mux_arrow), FadeIn(indexes), FadeIn(box_to_mux_arrs))\n",
+ " idx_label = indexes[median_idx].copy()\n",
+ " self.add(idx_label)\n",
+ " self.play(idx_label.animate.move_to(mux_arrow_p4).shift(RIGHT*0.8), FadeOut(indexes), FadeOut(boxes2), FadeOut(comp_labels))\n",
+ "\n",
+ "\n",
+ " final_arrow = Line(start=mux.get_right(), end=[3,0,0]).add_tip(tip_length=0.2, tip_width=0.2)\n",
+ " self.play(FadeIn(final_arrow))\n",
+ " md_value_copy = new_labels_ch[median_idx].copy()\n",
+ " self.add(md_value_copy)\n",
+ " self.play(box_to_mux_arrs[median_idx].animate.set_color(BLUE), final_arrow.animate.set_color(BLUE),md_value_copy.animate.set_color(BLUE))\n",
+ " final_label = Text(\"(Median Value)\", font_size=100, color=BLUE).scale(0.2).move_to([4,-1,0])\n",
+ " self.play(md_value_copy.animate.move_to([4,0,0]))\n",
+ " self.play(FadeIn(final_label), run_time=0.5)\n",
+ "\n",
+ " self.wait()\n",
+ "\n",
+ " self.play(\n",
+ " FadeOut(boxes),\n",
+ " FadeOut(box_to_mux_arrs),\n",
+ " FadeOut(new_labels_ch),\n",
+ " FadeOut(mux),\n",
+ " FadeOut(final_label),\n",
+ " FadeOut(final_arrow),\n",
+ " FadeOut(md_value_copy),\n",
+ " FadeOut(mux_arrow),\n",
+ " FadeOut(idx_label),\n",
+ " FadeOut(channel_labels)\n",
+ " )\n",
+ " final_text = Text(text=\"Synthesized result\", font_size=100, color=BLUE).scale(0.8)\n",
+ " self.play(FadeIn(final_text))\n",
+ " self.wait(0.5)\n",
+ " self.play(FadeOut(final_text))\n",
+ " layout = ImageMobject(\"/content/final_routin.png\")\n",
+ " self.play(FadeIn(layout))\n",
+ " self.wait(1)\n"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "execution_count": 42,
+ "metadata": {
+ "colab": {
+ "base_uri": "https://localhost:8080/"
+ },
+ "id": "AJJFqlRPFZfo",
+ "outputId": "7dcb87ad-766d-4eea-f820-b094af547027"
+ },
+ "outputs": [
+ {
+ "name": "stdout",
+ "output_type": "stream",
+ "text": [
+ "Manim Community \u001b[32mv0.\u001b[0m\u001b[32m18.1\u001b[0m\n",
+ "\n",
+ "\u001b[2;36m[12/05/24 20:17:25]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m0\u001b[0m : Partial movie file written in \u001b]8;id=256438;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=748661;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/1185818338_2750995\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m383_223132457.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:26]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m1\u001b[0m : Partial movie file written in \u001b]8;id=429537;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=41326;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/624642324_29193400\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m33_3335891415.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m2\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=695744;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=826649;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3180095447_3631127911\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:27]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m3\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=443053;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=142958;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1448565205_4131132508\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m4\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=398144;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=658602;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2990969059_4048845242\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m5\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=448677;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=483234;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1368238113_3670982178\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:28]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m6\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=806001;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=959690;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2461515729_3670982178\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:29]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m7\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=176738;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=958934;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3003991437_758497372\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:30]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m8\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=456661;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=143885;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1669047782_2085707783\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:31]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m9\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=773402;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=733299;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_4071836520_1703793980\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:32]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m10\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=721295;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=900099;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2239947144_2932473321\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:34]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m11\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=107904;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=354184;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2812254876_2990989020\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:35]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m12\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=540106;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=94785;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_458841035_3889447267\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:36]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m13\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=326167;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=795369;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2919340033_2972389648\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:37]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m14\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=291894;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=714844;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1727663813_2110430589\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:38]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m15\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=82912;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=689917;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_4197481991_3332289617\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:39]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m16\u001b[0m : Partial movie file written in \u001b]8;id=451685;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=774070;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/624642324_22089544\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m70_373091369.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m17\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=573597;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=214603;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2997842748_3395544289\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:40]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m18\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=739103;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=65074;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2221508993_3246546886\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:41]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m19\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=571942;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=888071;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3586056231_3122070725\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:43]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m20\u001b[0m : Partial movie file written in \u001b]8;id=300456;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=17663;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/624642324_10363178\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m62_1502823892.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:44]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m21\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=991179;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=394560;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2201802545_2885286591\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m22\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=3472;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=60633;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1155253048_4076400535\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:45]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m23\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=406380;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=133520;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2550806684_269702882\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m24\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=663023;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=859582;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_795429868_3164135229\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:46]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m25\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=229654;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=868955;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_4199331302_3906730379\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:47]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m26\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=831826;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=856630;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1068616374_1598390852\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m27\u001b[0m : Partial movie file written in \u001b]8;id=938667;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=912599;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/624642324_16810716\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m78_690397600.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:48]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m28\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=82089;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=694484;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3970494712_627076952\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:49]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m29\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=233440;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=449171;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2422205796_882600676\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:50]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m30\u001b[0m : Partial movie file written in \u001b]8;id=43093;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=108802;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/624642324_40576925\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m1_4172694431.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m31\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=619839;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=26528;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2277253204_804281717\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:51]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m32\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=580916;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=91036;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2817383139_81760125\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m33\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=187787;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=146560;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3363620079_2105998202\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:52]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m34\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=574294;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=960495;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1843356456_387833023\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:53]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m35\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=234057;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=899785;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3294020679_1043146674\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m36\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=834872;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=592166;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2469327239_1703497379\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:54]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m37\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=135265;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=206914;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3803209873_1028191683\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:55]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m38\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=583610;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=420001;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3521000916_3462143730\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:56]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m39\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=50049;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=912507;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_327568828_3551337429\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:57]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m40\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=528889;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=338336;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3123210224_3041872862\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m41\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=803246;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=997734;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_599749033_3328892812\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:58]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m42\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=477139;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=368059;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1583718837_325526821\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:17:59]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m43\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=538496;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=823406;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1452977599_605597378\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m44\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=910119;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=466596;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2438697135_3606311314\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:00]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m45\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=420408;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=60166;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1228604062_682584265\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m46\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=690670;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=573512;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3304811021_288989355\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:01]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m47\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=54268;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=569060;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2556197703_3261669665\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:02]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m48\u001b[0m : Partial movie file written in \u001b]8;id=167424;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=148837;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/624642324_51410342\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m1_3867938032.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m49\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=715101;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=918657;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3639456296_3912382796\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:03]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m50\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=184409;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=853290;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1642379776_3178103508\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:04]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m51\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=922604;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=309684;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3504890163_1281151033\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m52\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=983497;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=863268;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_271919026_2805237510\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:05]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m53\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=590499;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=910134;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1708138749_3633952613\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m54\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=225384;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=317234;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_731327993_2289852837\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:06]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m55\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=216537;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=248640;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3141346262_3879696581\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:07]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m56\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=176101;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=989863;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3008507163_3313540406\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:08]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m57\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=994252;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=84383;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_210761868_3418091288\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:09]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m58\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=512966;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=316376;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2075182432_4134774152\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:10]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m59\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=558161;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=65251;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2258561621_4216736382\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:11]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m60\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=848160;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=594061;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3337337101_1680659623\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m61\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=391473;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=55707;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1325203520_3451466757\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:12]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m62\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=995331;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=851896;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_4225945656_1290844303\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:13]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m63\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=185659;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=549618;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2321549989_681704226\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m64\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=642328;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=479726;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2371700874_2271979327\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:14]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m65\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=632610;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=736930;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3193817803_1461106067\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m66\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=239290;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=830057;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2831595464_3728792240\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:15]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m67\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=443795;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=372496;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2532335808_592373642\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m68\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=476212;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=877911;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2895096138_4094896994\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:16]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m69\u001b[0m : Partial movie file written in \u001b]8;id=371750;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=875195;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/624642324_36019877\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m68_2676047972.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:17]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m70\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=600169;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=836253;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3902975387_1338678840\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:18]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m71\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=137327;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=565107;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1424697689_233173429\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m72\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=939715;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=226166;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1905102988_3175728160\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:19]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m73\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=590273;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=673744;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2690754028_1398541811\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m74\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=166124;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=757401;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2248428141_1486265909\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:20]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m75\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=219935;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=78679;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_701635138_1827402093\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:21]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m76\u001b[0m : Partial movie file written in \u001b]8;id=315487;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=181389;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#527\u001b\\\u001b[2m527\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/partial_mo\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mvie_files/AnimatedFlowgraph/624642324_19617977\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m2_603915675.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:22]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m77\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=750571;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=805983;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3106649569_3819625234\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:23]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m78\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=383888;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=79956;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_846891272_1595289091\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:24]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m79\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=577350;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=934994;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1495332597_2601884564\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:25]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m80\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=337766;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=461314;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1303288465_2890130461\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m81\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=235125;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=985949;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_985484422_1077324793\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:26]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m82\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=591727;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=328164;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_4106644855_4241290516\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m83\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=654955;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=154957;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2970544720_1629560693\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:27]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m84\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=466198;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=732718;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3282323990_2392412428\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:28]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m85\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=145385;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=115551;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2075799774_2423927702\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m86\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=600606;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=140065;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1629267820_2912936545\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:29]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m87\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=608579;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=68842;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_755888564_514479041\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:30]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m88\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=27022;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=179322;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_707536935_74284827\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:31]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m89\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=964117;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=317702;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1479679163_2657316927\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:32]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m90\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=84843;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=741066;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_459109047_2677634713\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:33]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m91\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=796614;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=637991;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1775708382_3286667545\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:34]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m92\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=472598;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=253600;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3154077367_2839536394\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m93\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=326988;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=519316;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1124683182_2445570722\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:35]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m94\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=856586;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=27892;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1578849969_1105465194\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:36]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m95\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=351213;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=314219;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1733944848_1161371808\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m96\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=467243;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=306850;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3765646796_551550726\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:37]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m97\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=810825;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=155929;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_3910345080_2353627841\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m[12/05/24 20:18:38]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m98\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=435975;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=118208;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2569161387_1738031731\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m99\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=816717;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=353689;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_1952433461_3512530946\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m100\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=41724;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=408612;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_4074531091_2288906288\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Animation \u001b[32m101\u001b[0m : Using cached data \u001b[1m(\u001b[0mhash : \u001b]8;id=443999;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py\u001b\\\u001b[2mcairo_renderer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=105051;file:///usr/local/lib/python3.10/dist-packages/manim/renderer/cairo_renderer.py#88\u001b\\\u001b[2m88\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m 624642324_2919340033_4258117592\u001b[1m)\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m Combining to Movie file. \u001b]8;id=572473;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=653159;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#617\u001b\\\u001b[2m617\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m[12/05/24 20:18:39]\u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m \u001b]8;id=946217;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=172028;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#737\u001b\\\u001b[2m737\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[1;33mFile\u001b[0m ready at \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32m'/content/media/videos/manim/480p15/AnimatedFl\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[32mowgraph.mp4'\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m The partial movie directory is full \u001b[1m(\u001b[0m> \u001b[32m100\u001b[0m \u001b]8;id=940840;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py\u001b\\\u001b[2mscene_file_writer.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=42336;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene_file_writer.py#707\u001b\\\u001b[2m707\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m files\u001b[1m)\u001b[0m. Therefore, manim has removed the \u001b[32m10\u001b[0m \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m oldest \u001b[1;35mfile\u001b[0m\u001b[1m(\u001b[0ms\u001b[1m)\u001b[0m. You can change this behaviour \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m by changing max_files_cached in config. \u001b[2m \u001b[0m\n",
+ "\u001b[2;36m \u001b[0m\u001b[2;36m \u001b[0m\u001b[32mINFO \u001b[0m \u001b[1;33mRendered\u001b[0m AnimatedFlowgraph \u001b]8;id=838652;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene.py\u001b\\\u001b[2mscene.py\u001b[0m\u001b]8;;\u001b\\\u001b[2m:\u001b[0m\u001b]8;id=320538;file:///usr/local/lib/python3.10/dist-packages/manim/scene/scene.py#247\u001b\\\u001b[2m247\u001b[0m\u001b]8;;\u001b\\\n",
+ "\u001b[2;36m \u001b[0m \u001b[1;33mPlayed\u001b[0m \u001b[32m102\u001b[0m \u001b[1;33manimations\u001b[0m \u001b[2m \u001b[0m\n"
+ ]
+ }
+ ],
+ "source": [
+ "!manim -ql manim.py AnimatedFlowgraph"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "ACv-6uLRHGXr"
+ },
+ "source": [
+ "Generated video:\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "\n",
+ "Full video can be seen at: https://drive.google.com/file/d/1i8vF-2TRLmu0D59rcTxQhiO1sReuwLOu/view?usp=drive_link\n",
+ "\n",
+ "\n"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {
+ "id": "0GbqI3GdugT7"
+ },
+ "source": [
+ "#8. Conclusion\n",
+ "\n",
+ "This project was made aiming for designing a filter module to be implemented in the SALSA chip. The task of developing this part generated three approaches, with two of them having new median finding algorithms developed by our team.\n",
+ "\n",
+ "One of those algorithms was innovative and promising (CSMF), so a reduced version was proposed to be created and tested. For ease of production and testing, the open source environment was choosen with the IHP SG13G2 Open Source PDK.\n",
+ "\n",
+ "The chip, called MARTIn was coded, synthesized, got its layout done and was tested utilizing only open source tools. The design was accepted in the IHP November 2024 round for production and is now under production.\n",
+ "\n",
+ "In addition to that the team explored a new educational tool: Python for generating animations to explain digital circuits. So utilizing the Manim package, an animation that explains the innovative median finding algorithm was made.\n",
+ "\n",
+ "Therefore this project developed a functional coherent noise removal filter with a innovative algorithm for median finding and a reduced version is under production using open source PDK. With these results in hand, it is possible to show that contributions to the world of particle physics experiments, mainly in the field of microelectronics, can be done utilizing free open source tools that are avaliable to any school, university and person.\n",
+ "\n",
+ "The next steps for this project are testing the physical chip to ensure this design works and also evaluate its radiation tolerance, which is an important factor in the field of particle physics experiments.\n",
+ "\n",
+ "#9. References\n",
+ "[1] T. Francke et al., “Micropattern gaseous detectors,” in INFN Eloisatron\n",
+ "Project: 42nd Workshop on Innovative Detectors for Supercolliders, 4\n",
+ "2004, pp. 158–179.\n",
+ "\n",
+ "[2] J. Alme et al., “Correction of the baseline fluctuations in the gem-based\n",
+ "alice tpc,” Journal of Instrumentation, vol. 18, no. 11, p. P11021, 2023.\n",
+ "\n",
+ "[3] J. Adolfsson et al., “SAMPA chip: the new 32 channels ASIC for\n",
+ "the ALICE TPC and MCH upgrades,” Journal of Instrumentation,\n",
+ "vol. 12, no. 04, pp. C04 008–C04 008, apr 2017. [Online]. Available:\n",
+ "https://doi.org/10.1088%2F1748-0221%2F12%2F04%2Fc04008\n",
+ "\n",
+ "[4] S. Barboza et al., “Sampa chip: a new asic for the alice tpc and mch\n",
+ "upgrades,” Journal of Instrumentation, vol. 11, no. 02, p. C02088, feb\n",
+ "2016.\n",
+ "\n",
+ "[5] S. Barboza et al., “Sampa chip: a new asic for the alice tpc and mch\n",
+ "upgrades,” Journal of Instrumentation, vol. 11, no. 02, p. C02088, feb\n",
+ "2016. [Online]. Available: https://dx.doi.org/10.1088/1748-0221/11/02/\n",
+ "C02088\n",
+ "\n",
+ "[6] S. Acharya et al., “Alice upgrades during the lhc long shutdown 2,”\n",
+ "Journal of Instrumentation, vol. 19, no. 05, p. P05062, may 2024.\n",
+ "[Online]. Available: https://dx.doi.org/10.1088/1748-0221/19/05/P05062\n",
+ "\n",
+ "[7] B. C. de Souza Sanches, “An application specific signal processor for\n",
+ "gaseous detector systems in high energy physics experiment,” Doctorate\n",
+ "thesis, Escola Polit´ecnica, Universidade de S˜ao Paulo, 2021.\n",
+ "\n"
+ ]
+ }
+ ],
+ "metadata": {
+ "colab": {
+ "include_colab_link": true,
+ "provenance": []
+ },
+ "kernelspec": {
+ "display_name": "Python 3",
+ "name": "python3"
+ },
+ "language_info": {
+ "name": "python"
+ }
+ },
+ "nbformat": 4,
+ "nbformat_minor": 0
+}