-
Notifications
You must be signed in to change notification settings - Fork 11
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
refine backend compilation folders in tests
change user-guide demos to snippets add custom styles for nested tabs in user guide cache sandbox generated hdl files from Build to DFDocs workflow more snippets in docs docExamples generation checks are now done across all active dialects and through file comparions against reference files in resources remove unused sandbox upload and download steps from workflows now that we have a those files committed inside resources and a way to automatically update them update workflows to ignore certain paths and refine mkdocs watch still hide user guide update documentation paths for generated Verilog and VHDL examples ignore dfhdl_defs.* and dfhdl_pkg.vhd files
- Loading branch information
Oron Port
committed
Feb 5, 2025
1 parent
d887db8
commit 821d289
Showing
148 changed files
with
3,166 additions
and
1,043 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,4 @@ | ||
/* different styles for tabs inside tabs */ | ||
html.js-focus-visible.js body div.md-container main.md-main div.md-main__inner.md-grid div.md-content article.md-content__inner.md-typeset div.admonition div.tabbed-set.tabbed-alternate div.tabbed-content div.tabbed-block div.tabbed-set.tabbed-alternate div.tabbed-labels.tabbed-labels--linked label a { | ||
font-size: smaller; | ||
} |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
33 changes: 33 additions & 0 deletions
33
lib/src/test/resources/ref/docExamples.ALUSpec/verilog.sv2009/hdl/ALU.sv
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,33 @@ | ||
`default_nettype none | ||
`timescale 1ns/1ps | ||
`include "ALU_defs.svh" | ||
|
||
module ALU( | ||
input wire logic [31:0] op1, | ||
input wire logic [31:0] op2, | ||
input wire t_enum_ALUSel aluSel, | ||
output logic [31:0] aluOut | ||
); | ||
`include "dfhdl_defs.svh" | ||
logic [4:0] shamt; | ||
logic [31:0] outCalc; | ||
always_comb | ||
begin | ||
case (aluSel) | ||
ALUSel_ADD: outCalc = op1 + op2; | ||
ALUSel_SUB: outCalc = op1 - op2; | ||
ALUSel_AND: outCalc = op1 & op2; | ||
ALUSel_OR: outCalc = op1 | op2; | ||
ALUSel_XOR: outCalc = op1 ^ op2; | ||
ALUSel_SLT: outCalc = {{(32-1){1'b0}}, {$signed(op1) < $signed(op2)}}; | ||
ALUSel_SLTU: outCalc = {{(32-1){1'b0}}, {op1 < op2}}; | ||
ALUSel_SLL: outCalc = op1 << shamt; | ||
ALUSel_SRL: outCalc = op1 >> shamt; | ||
ALUSel_SRA: outCalc = {$signed(op1) >>> shamt}; | ||
ALUSel_COPY1: outCalc = op1; | ||
default: outCalc = 32'h????????; | ||
endcase | ||
end | ||
assign shamt = op2[4:0]; | ||
assign aluOut = outCalc; | ||
endmodule |
Oops, something went wrong.